文档视界 最新最全的文档下载
当前位置:文档视界 › plc课程设计 病房呼叫系统

plc课程设计 病房呼叫系统

plc课程设计 病房呼叫系统
plc课程设计 病房呼叫系统

病床呼叫系统

设计要求

1、控制要求:

(1)共有3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。

(2)设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。

(3)每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。

(4)在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。

(5)一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方灯被重置。

2、设计任务:

(1)设计出硬件系统的结构图,接线图等;

(2)系统有启动、停止功能;

(3)运用功能指令进行PLC控制程序设计;

(4)程序结构与控制功能自行设计;

(5)进行系统调试,实现病床呼叫系统的控制要求。

第1章绪论

1.1电气控制技术概述

电气控制技术在工业生产、科学研究以及其他各个领域的应用十分广泛,已经成为实现生产过程自动化的重要技术手段之一。尽管电气控制设备种类繁多、功能各异,但其控制原理、基本线路、设计基础都是类似的。

在工业、农业、交通运输等行业中都要用到各类生产机械,这些机械的电力拖动及

设备主要使用电动机作为动力,例如各种生产流水线等。中国生产的电能约60%用于电动机,其中的70%以上又用于一般用途的交流异步和同步电动机。因此,掌握电气控制技术的应用很重要。

电气控制就是通过电气自动控制方式来控制生产过程。电气控制线路是把各种有触点的接触器、继电器以及按钮、行程开关等电气元件,用导线按一定方式连接起来的控制线路。电气控制线路能够实现对电动机及其他执行电器的启停、正反转、调速及制动等运行方式的控制,所以,电气控制通常被我们称为继电接触器控制,这种控制方式比较传统。

由于继电接触器控制线路图简单,装置简单容易,价格便宜,抗干扰能力强,它可以很方便地实现简单和复杂的、集中和远距离的生产过程的自动控制。但是继电接触器控制线路采用固定接线形式,其通用性和灵活性差,一旦做成不易改变,另外不能实现系列化生产。由于采用有触电的开关电器,触点易发生故障,尽管如此,电气控制线路仍然应用广泛。

虽然不同的生产工艺要求不同的电气控制线路,但是大多数都是由一些典型控制环节组成的。因此,掌握基本环节的典型控制系统,结合具体的控制要求按照由浅入深、循序渐进的步骤,电气控制线路的阅读及设计能大致掌握。

电气控制线路有两种设计方法:一种是经验设计法;另一种是逻辑代数设计法。两种设计方法各有利弊,使用时需要根据生产工艺及一些特殊要求选择最佳的电气控制线路。

电气控制线路的各种图形及文字符号必须按国际标准绘制,典型环节需要牢固掌握,以便设计出最省电器设备元件且控制性能最佳的电气控制线路。

目前,电气控制技术将与其他高新技术相结合,以便更好地控制生产线。

1.2 可编程控制器简介

可程序逻辑控制器(PLC,Programmable Logic Controller),乃是一种固态电子装置,主要利用输入/输出装置的回授信号及储存程序,控制机械或程序的操作。在工厂自动化(FA)系统中,PLC因为具备价格便宜、系统稳定及环境适应性佳的特点,故一直为自动化业界所采用。近几年来,各PLC制造厂家无不致力于新机种的研发,所以在CPU 处理速度、扩展模块及通讯的功能上,相较于早期PLC控制器,已有长足的进展。

在工业生产过程中,大量的开关量顺序控制,它按照逻辑条件进行顺序动作,并按

照逻辑关系进行连锁保护动作的控制,及大量离散量的数据采集。传统上,这些功能是通过气动或电气控制系统来实现的。1968年美国通用汽车公司提出取代继电气控制装置的要求,第二年,美国数字公司研制出了基于集成电路和电子技术的控制装置,首次采用程序化的手段应用于电气控制,这就是第一代可编程序控制器,称Programmable Controller(PC)。

个人计算机(简称PC)发展起来后,为了方便,也为了反映可编程控制器的功能特点,可编程序控制器定名为Programmable Logic Controller(PLC)。

为了避免与个人计算机( Personal Computer )PC 这一简写名称术语混乱,仍沿用早期的PLC表示可编程控制器,但PLC并不意味着只具有逻辑运算的功能。

国际电工委员会在1987年颁布的PLC标准草案中对PLC做了定义:“PLC是一种专门为在工业环境下应用而设计的数字运算操作的电子装置。它采用可以编制程序的存储器,用来在其内部存储执行逻辑运算、顺序运算、定时、计数和算术运算等操作的指令,并能通过数字式或模拟式的输入和输出,控制各种类型的机械或生产过程。PLC及其有关的外部设备都应按照易于与工业控制系统形成一个整体,易于扩展其功能的原则而设计。”

我们这一次所设计的病床呼叫系统的PLC控制设计采用了日本著名的三菱公司生产的FX2N-48MR作为核心的控制器件,充分发挥了PLC的优越性能,比企鹅考虑了PLC 的扩展功能惊醒梯形图程序的设计,能够实现病床呼叫系统的所有功能。本系统所涉及的定时器与中间继电器软组件完美组合,完全符合PLC控制系统设计的一般设计方法,能激发我们的学习兴趣。

1.3 PLC工作原理

1.3.1 PLC主要组成部分

图1-1 PLC的结构组成

CPU模块:

CPU模块主要由微处理器(CPU芯片)和存储器组成。在PLC控制系统中,CPU 模块相当于人的大脑和心脏,它不断的采集输入信号,执行用户程序,刷新系统的输出;存储器用来储存程序和数据。

I/O模块:

输入(Input)模块和输出(Output)模块统称I/O模块,是联系外部现场和CPU模块的桥梁。输入模块主要用来接受和采集输入信号,输入信号包括两类:一类是从按钮,选择开关,接近开关,光电开关等来的开关量输入信号;另一类就是由电位器,测速发电机等提供的连续变化的模拟量信号。

PLC通过输出模块控制接触器、电磁阀等执行机构,另外也可以驱动指示灯、数字显示装置等

CPU模块的工作电压一般是5V,而其输入/输出信号电压一般较高,如DC24V和AC220V。为防止外部引入的尖峰电压和干扰噪声损坏CPU模块,影响其正常工作,在I/O模块中,用光电耦合器、可控硅,小型继电器等器件来隔离外部输入电路和负载。I/O模块除了传递信号外,还有电平转换与隔离的作用。

1.3.2 PLC的扫描过程

PLC有两种基本的工作状态,即运行(RUN)状态与停止(STOP)状态。在运行状态,PLC通过执行反映控制要求的用户程序来实现控制功能。为了使PLC的输出及时响应随时变化的输入信号,用户程序不是执行了一次,而是反复不断地重复执行,直至PLC停机或切换到STOP工作状态。

除了执行用户程序之外,在每次循环中,PLC还要完成内部处理,通讯处理等工作,一次循环可分为5个阶段。

图1-2 PLC的扫描过程

在内部处理阶段,进行PLC自检,检查内部硬件是否正常,对监视定时器(WDT)复位以及完成其它一些内部处理工作。

在通信服务阶段,PLC与其它智能装置实现通信,响应编程器键入的命令,更新编程器的显示内容等。

当PLC处于停止(STOP)状态时,只完成内部处理和通信服务工作。当PLC处于运行(RUN)状态时,除完成内部处理和通信服务工作外,还要完成输入采样、程序执行、输出刷新工作。

PLC的扫描工作方式简单直观,便于程序的设计,并为可靠运行提供了保障。当PLC扫描到的指令被执行后,其结果马上就被后面将要扫描到的指令所利用,而且还可通过CPU内部设置的监视定时器来监视每次扫描是否超过规定时间,避免由于

CPU内部故障使程序执行进入死循环。

1.3.3 PLC执行程序的过程及特点

1. 输入采样阶段

在输入采样阶段,PLC以扫描工作方式按顺序对所有输入端的输入状态进行采样,并存入输入映象寄存器中,此时输入映象寄存器被刷新。接着进入程序处理阶段,在程序执行阶段或其它阶段,即使输入状态发生变化,输入映象寄存器的内容也不会改变,

输入状态的变化只有在下一个扫描周期的输入处理阶段才能被采样到。

2. 程序执行阶段

在程序执行阶段,PLC对程序按顺序进行扫描执行。若程序用梯形图来表示,则总是按先上后下,先左后右的顺序进行。当遇到程序跳转指令时,则根据跳转条件是否满足来决定程序是否跳转。当指令中涉及到输入、输出状态时,PLC从输入映像寄存器和元件映象寄存器中读出,根据用户程序进行运算,运算的结果再存入元件映象寄存器中。对于元件映象寄存器来说,其内容会随程序执行的过程而变化。

图1-3

PLC执行程序过程示意图

3. 输出刷新阶段

当所有程序执行完毕后,进入输出处理阶段。在这一阶段里,PLC将输出映像寄存器中与输出有关的状态(输出继电器状态)转存到输出锁存器中,并通过一定方式输出,驱动外部负载。

因此,PLC在一个扫描周期内,对输入状态的采样只在输入采样阶段进行。当PLC 进入程序执行阶段后输入端将被封锁,直到下一个扫描周期的输入采样阶段才对输入状态进行重新采样。这方式称为集中采样,即在一个扫描周期内,集中一段时间对输入状态进行采样。

在用户程序中如果对输出结果多次赋值,则最后一次有效。在一个扫描周期内,只在输出刷新阶段才将输出状态从输出映象寄存器中输出,对输出接口进行刷新。在其它阶段里输出状态一直保存在输出映象寄存器中。这种方式称为集中输出。

对于小型PLC,其I/O点数较少,用户程序较短,一般采用集中采样、集中输出的工作方式,虽然在一定程度上降低了系统的响应速度,但使PLC工作时大多数时间与

外部输入/输出设备隔离,从根本上提高了系统的抗干扰能力,增强了系统的可靠性。

而对于大中型PLC,其I/O点数较多,控制功能强,用户程序较长,为提高系统响应速度,可以采用定期采样、定期输出方式,或中断输入、输出方式以及采用智能I/O 接口等多种方式。

从上述分析可知,当PLC的输入端输入信号发生变化到PLC输出端对该输入变化作出反应,需要一段时间,这种现象称为PLC输入/输出响应滞后。对一般的工业控制,这种滞后是完全允许的。应该注意的是,这种响应滞后不仅是由于PLC扫描工作方式造成,更主要是PLC输入接口的滤波环节带来的输入延迟,以及输出接口中驱动器件的动作时间带来输出延迟,同时还与程序设计有关。滞后时间是设计PLC应用系统时应注意把握的一个参数。

第二章系统方案设计

2.1 病床呼叫系统方框图

病床呼叫系统由从机、主机等两部分组成。从机(呼叫源)即病床按钮,主机包括PLC 及显示和监护系统,如图5所示。

呼叫源每长病床配备一个,呼叫源一般放在病床床头。患者有呼叫请求时,按下按纽向护士站呼叫。主机中PLC工作方式为循环扫描方式,在系统程序控制下,PLC顺序读入输入端口各呼叫源的状态,并且不断地循环扫描。一旦有呼叫按纽按下,PLC立即响应,通过设置的程序实现对系统的控制,启动振铃,并通过报警指示灯指出病号房;同时。在病房通道显示呼叫病床号。此外,还将显示某个时间段内患者呼叫次数。主机监控系统响应后,将出现相应的声、光报警指示,以便提示医护人员尽快赶到现场。

系统采用主从结构形式后,主机中的PLC还可以通过网线与计算机相连,将多个护士站连网构成病房监护管理中心,这里不作此设计。

图5 病床呼叫系统原理方框图

2.2 病床呼叫系统控制系统硬件设计

2.2.1 控制要求分析

根据控制要求,呼叫源和重置按钮每床配置一个,一般放在病床床头。每个病床配置一个紧急指示灯,病房紧急呼叫中心配置所有病床的紧急指示灯。每个病房外配置一个紧急指示灯。患者有呼叫请求时,按下按纽向护士站呼叫,一旦有呼叫按纽按下,PLC 立即响应,通过设置的程序实现对系统的控制,并通过病房紧急呼叫中心报警指示灯指出病号房。同时,在病房通道显示呼叫病床号,以便医护人员尽快赶到现场。医务人员处理完毕,通过复位按钮消除所有信号。被消除信号包括病床指示灯、护士站病房报警指示灯。

按设计要求选好PLC型号,务必要切合实际的状况。按设计的实际工程要求做好PLC 的I/O分配情况。连接好硬件电路和写好程序下载到PLC芯片上。

2.2.2 I/O点统计与I/O分配表

由表1可知输入有36个,输出有19个。有此可以知道PLC的I/O最低要求。以很快的了解PLC的控制功能与实现的具体功能,从而可以得出IO分配表,见表2。

表2中总共有54个接线端子,全是24V直流的电源供电端子。

表1 I/O点统计

表格2 I/O分配表

2.2.3 PLC选择

现在世界上PLC的生产厂家有200多家,提供400多个品种的PLC供用户选择,目前我国市场上主要的PLC产品有:西门子公司的S7-400/300/200系列、施奈德公司的momentum等、还有就是日本的欧姆龙、三菱、松下等公司的产品。西门子公司生产的PLC可靠性高,特别适用于大的工业控制系统,造价比较高,对于小型的自动售货机的控制系统来说成本过高[3]。目前我国市场上主流的小型的三菱PLC比较合适此设计控制,三菱公司生产的小型PLC的代表为FX-2N系列的PLC[4]、它具有丰富的内部资源:程序存储器具有16K步的最大存储容量,128种应用指令,还具有184点8进制编号的输入点数,184点8进制编号的输出点数,普通型、掉电保持性和赋予特殊用途型三种内部

继电器,以及状态寄存器、定时器、计数器、数据寄存器、常数与指针等功能与资源。可靠性高、造价低,对于自动售货机的控制中心就特别的合适。因此,本次设计采用的

-32MR-001,I/O点数PLC为三菱公司生产的FX-2N系列PLC软组件,控制系统选用FX

2N

各为16点,可以满足要求,且有一定裕量。

2.2.4 硬件接线图

该模块图6[5]硬件接线图根据控制系统的I/O分配表接线,左边是开关输入量,接开关按钮。右边是输出量,接灯泡作为输出显示。

图6 控制电路接线图

3 软件设计分析

3.1 系统流程图

流程图概要如下,流程图如下图7。

1.目前病房中使用的呼叫按钮大多为双按钮,一个呼叫,另一个关断。为方便患者使用,呼叫按钮采用手持式双按钮。当患者第一次按下呼叫按钮时病床指示灯、手柄按钮指示灯亮,提示患者已发出呼叫请求。但监控系统不立即响应,延迟5秒后监控系统才自行启动。如果是患者误操作,在5秒时间内第二次按下停止按钮可解除呼叫,同时关断病床指示灯、手柄按钮指示灯。

2.在患者呼叫时监控系统启动,护士站病房报警指示灯开始闪烁,振铃启动,同时护士站显示器显示呼叫病床号,指示医护人员立即响应。执行医务人员首先按动响应按钮切断振铃,将闪烁病房报警器指示灯变为常态。常亮的病房指示灯

用来表明医务人员已经响应患者的呼叫,或者正在现场处理中。医务人员处理完毕,通过复位按钮消除所有信号。被消除信号包括病床指示灯、护士站病房报警指示灯。

3.为增加实时处理能力,当医护人员在响应某一呼叫时,也允许其他患者呼叫。此时护士站相应的病房报警指示灯开始闪烁,振铃启动,同时显示出新的病房号。前一次呼叫的病房警报指示灯仍然常量。闪烁和常量指示灯的区别为:闪烁灯告知相应的病房有患者呼叫,常亮灯记录了另一病房有医务人员正在现场处理中.

4.为提高医院的科学化管理情况,也便于了解当班医护人员的工作强度,系统可以增加某个时间段对患者呼叫次数进行统计。对各区病房呼叫系统与计算机组成网络,可通过软件设计实现呼叫系统的病床信息管理,形成病房监护中心。以便院方及时了解和掌握各病区的工作情况,调配医护人员。

图7 系统流程图

3.2 梯形图设计

梯形图的设计要借助GX Developer软件。在软件中创建文件并且选好PLC型号。开始按照要求去写放置元件组成梯形图。

程序梯形图如下

病床呼叫系统讲解

课程设计(论文) 题目名称病床呼叫系统 课程名称电子技术课程设计 学生姓名xxxxx 学号xxxxxxxx 系、专业xxxxxxx 指导教师xxxxxxx 2016年5月31日

摘要 本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。 本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,并且运用74LS138译码器来进行输出的控制,本论文阐述了如何利用数字电路的思想,以及应用proteus仿真软件设计病房呼叫系统,测试,并实现其功能。 关键词:病房呼叫系统,JK同输入触发器,数字电路

目录 摘要............................................................................................................ I 引言.. (1) 1 设计详细任务与要求 (2) 1.1 基本功能 (2) 1.2 呼叫系统的总体框图 (2) 1.3方案设计与论证 (3) 2 设计方案简述 (4) 3 单元电路的设计 (5) 3.1 按键模块及指示灯模块的设计 (5) 3.2 锁存模块及清零模块的设计 (7) 3.3 显示模块及报警模块的设计 (9) 3.4 呼叫系统总电路原理图 (11) 4 病床呼叫系统的功能说明及仿真结果 (12) 5 病床呼叫系统设计总结 (14) 参考文献 (15) 附录 (16)

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

数电课程设计病床呼叫系统

数电课程设计病床呼叫 系统 集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术课程设计报告 题目:病床呼叫系统 班级: 姓名: 指导老师: 组号: 目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13) 病床呼叫系统

1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行

病房呼叫系统设计

毕业设计(论文) 题目:病房呼叫系统设计 院 (系):机电工程系 专业:电气自动化技术 姓名:马兆龙 学号: 58020220100138 指导教师:刘静 二〇一三年一月十六日

毕业设计(论文)任务书

毕业设计(论文)进度计划表

毕业设计(论文)中期检查记录表

病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C51单片机为核心辅以矩阵键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示床位号,相应的指示灯亮。这里主要矩阵键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时可实现循环显示,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C51 矩阵键盘 LED 病床呼叫

Ward call system is the patient's request to the doctor on duty or nurse emergency call tools for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call the medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to improve one of the essential equipment of hospital and ward level of care.Increasingly fierce competition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, but also long-troubled health system quality of service issues, using the ward call system more convenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses AT89C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix display of a simple circuit and some analog and digital circuits to achieve the transfer of information between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of the duty room to display the number of beds in this patient, people use to achieve circular display, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hospital. KEY WORD :AT89C51 matrix keyboard LED ward call

病房呼叫系统方案

呼叫系统方案 主机与病员一览表合二为一,并设有万年历、呼叫床位指示灯和病房床位数码显示窗口,外壳超薄型设计,造型特别精巧,可壁挂、桌面任意放置;分机采用最新编码电路编号,变更床号只需更换编码芯片即可,外壳采用了全塑外扣式设计,适用于任何形式的安装配套;分机为内压线式接线,实现了房间内无断线的连接。使用、安装、维护更安全更方便。: 1、该医院呼叫系统双向呼叫、双功通话:分机可呼叫主机,主机也可呼叫分机,送话受话无需转换。 2、主机多功能显示:主机可显示万年历,护理等级,呼叫床号、序号等。 3、三级护理设置:可根据病员病情任意设置高、中、低级护理床位,并在主机上有不同颜色的灯显示。 4、高级优先:高级病员的呼叫可以中断低级病员的通话。 5、话筒统播:可通过主话机作下通知、找人等统播。 6、宣教广播:可接入录音机等信号源作宣传教育广播。 7、主机免提:分机呼入时主机可免提与分机对讲。 8、无中断呼叫:无论在通话、广播等状态只要有呼叫均能呼入并给出显示。 9、呼叫存储:分机呼叫而主机无人接时主机将该分机号存储。 10、走廊显示屏:可显示呼叫分机号、存贮分机号和呼叫序号;无呼叫存贮时显示时间。 11、与计算机连网:由计算机将病员的呼叫时间、呼叫次数、处理时间、超时处理等进行统计,为考核服务质量提供技术上的保证。 12、故障自检报警:当系统出现故障时主机显示窗口及显示屏均能给出数字显示,并伴有声响报警提示: 1、主机最大分机容量:50门、60门 2、最长连线距离:800米 3、最大存储量:9个 4、电源:220V±10%、50Hz 5、工作方式:连续 6、功耗:<20W 7、总线电压:18V 8、工作条件:环境温度0~40℃相对湿度≤80% 医院呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号(1-9号应按01-09),被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号

利用74LS175制作的八路抢答器资料

利用74L S175制作的八路抢答器

电子课程设计报告题目名称:八路抢答器设计 姓名: 专业: 班级学号: 同组人: 指导教师: 南昌航空大学计算机学院 2008年 06 月 26 日仅供学习与交流,如有侵权请联系网站删除谢谢2

摘要 在市场上可能有很多的八路数显智力竞赛抢答器,但是本论文将提供一种新的八路数显智力竞赛抢答器设计方案,设置复位标志位便于区分不同原因引发的复位,作为一种新技术被越来越多的新型单片机所采纳。但本论文中的八路数显智力竞赛抢答器只是利用到最基本的复位方式。 经过考虑我们选择了74LS175芯片做八路抢答器。它的俗名是4D触发器。选择它是因为它具有D触发器的性质,有存储功能。本设计主要考虑了该芯片经CP脉冲,在不同的情况下对它有维持阻塞作用。在设计方案中。要设计八路抢答器,我们就选择了两个784LS175的芯片,因为每个芯片有四路。本抢答器拥有复位清零作用。并且有数码管显示选手的号码。方便。 关键字:I.抢答器…II.维持阻塞…III.存储功能,IV优先编码。 仅供学习与交流,如有侵权请联系网站删除谢谢3

目录 摘要.................................................... (2) . 前言…………………………………………………………………….... .4 第一章抢答器的概述 (5) 1.1 设计要求 (5) 1.2抢答器的用途及要求 (5) 第二章电路设计原理及单元模块 (5) 2.1 74LS175的功能表内部结构及管脚图 (5) 2.2完成抢答器的置位及指示电路及其原理 (7) 2.3阻塞电路及其原理 (9) 2.4时钟脉冲的控制及其原 理 (11) 2.5电路设计总原理图 (12) 第三章安装与调试 (13) 3.1电路的安装调试 (13) 3.2电路的测试 (13) 第四章实验结论 (14) 参考文献 (15) 附录………………………………………………………………….…. .15 仅供学习与交流,如有侵权请联系网站删除谢谢4

plc课程设计病床呼叫系统

目录 第1章控制工艺流程分析 (1) 1.1病床呼叫系统控制过程描述 (1) 1.2. 病床呼叫系统控制工艺分析 (1) 第二章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.4系统结线图设计 (3) 第3章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制程序时序图设计 (5) 3.3 控制程序设计思路 (7) 第4章监控系统设计 (8) 4.1 PLC与上位监控软件通讯 (8) 4.2 上位监控系统组态设计及实现效果 (9) 第5章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 第6章课程设计心得 (11) 参考文献 (12) 附录 (13)

第1章控制工艺流程分析 1.1病床呼叫系统控制过程描述 患者在住院期间,可能会在任意时间请求医生或护士进行诊断或护理。临床救助呼叫是传送临床信息的重要手段,病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,要将患者的请求快速传送给值班医生或护士,并在护士站的监控中心留下准确完整的记录,是提高医院和病室护理水平的必备设备之一。病床呼叫系统要求及时、准确、可靠,简便可行,利于推广,其性能的优劣直接关系到病员的安危,因此历来受到各大医院的普遍重视。 本实验应用可编程控制,以一种以PLC为核心的智能化病床呼叫系统。该系统利用PLC特殊的I/O接口,是系统构成更加简单,充分展示了它的特色和应用前景。该系统具有呼叫、灯光报警、振铃、先是排队、优先权设定等功能,可满足医院对病房管理和护理的要求。 1.2. 病床呼叫系统控制工艺分析 1.共有3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s 内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

多路抢答器课程设计报告详解

课程设计说明书 题目:多路抢答器设计 二级学院机械工程学院 年级专业14级机械设计制造及其自动化学号1401210012 学生姓名曾骏 指导教师洪云 教师职称讲师

目录 摘要 (1) 一、绪论 (1) 1、单片机抢答器的背景 (1) 2、单片机的应用 (2) 3、抢答器的应用 (3) 二、方案设计 (4) 1、总方案设计 (4) 2、基本功能 (4) 3、扩展功能 (5) 三、硬件电路设计 (6) 1、单片机的选择 (6) 2、各模块设计 (7) 2.1、单片机最小系统 (7) 2.2、抢答按键电路 (8) 2.3、显示器电路 (8) 2.4、蜂鸣器音频输出电路 (9) 四、软件设计 (10) 1、程序设计 (10) 2、主程序设计 (11) 五、心得体会 (12) 附录 1.程序清单 (13) 2.硬件图 (23) 六、参考文献 (24)

摘要 此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 本次设计系统实用性强、判断精确、操作简单、扩展功能强等。它的功能实现是比赛开始,主持人读完题之后按下总开关,则计时开始,此时数码管开始进行1s的减计时,直到有一个选手按下抢答按钮,这时对应的数码管上会显示出该选手的编号和抢答所用的时间,同时该选手的报警器也会发出声音,来提示有人抢答本题。如果在规定的30s时间内没有选手做出抢答,则此题作废,即开始重新一轮的抢答。 关键词:单片机、抢答器、数码管、报警器 一、绪论 1、单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。单片机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人脑的作用,要是它出了毛病,那么整个装置就将瘫痪。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词--“智能型”。如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计 系 (部) 班级 姓名 学号 指导教师 2014 年 12 月 15 日至 12 月 19 日共 1 周 2014年12 月19日

课程设计成绩评定表

目录 1设计题目 (3) 1病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1设计内容 (6) 3.2设计思路 (6) 3.3需要器件 (7) 3.4结构框图 (7) 3.5系统原理图及其工作原理 (8) 3.6各部分功能模块 (12) 3.6.1床位呼叫控制转换模块及原理图 (12) 3.6.2 优先选择模块设计 (13) 3.6.3译码显示模块设计 (16) 3.6.4蜂鸣器模块 (17) 4.软件仿真及其调试 (18) 4.1软件介绍 (18) 4.2仿真方法介绍 (18) 4.3故障分析 (19) 5.结论 (20) 6.心得体会 (21) 参考资料 (22) 附录1仿真电路全图 (23) 附录2 元件清单 (24)

1设计题目1病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时,病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

八路抢答器课程设计报告

目录 一、摘要 (1) 二、设计目的 (1) 三、设计任务及要求 (1) 1.设计要求 (1) 2.设计任务 (2) 四、八路抢答器电路的设计及原理 (2) 1.设计思路 (2) 2.总电路框图 (3) 3.各模块设计方案及原理说明 (3) 3.1抢答电路 (3) 3.230秒倒计时电路 (10) 3.3报警电路 (17) 五、抢答器的总电路 (23) 六、设计心得 (24) 附录 附录1 元件明细表 (26) 附录2 元件报价表 (27) 参考文献 (28) 完整电路示图 (29)

8路抢答器 一、摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中。例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim10完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 二、设计目的 本电子设计,主要为了实现以下目的: 1.增强对数字电子技术的了解与掌握; 2.学习相关软件的使用方法; 3.熟悉优先编码器、触发器、计数器、译码电路等的应用方法; 4.熟悉时序电路的设计方法; 5.具备简单电路的设计能力。 三、设计的任务及要求 1.设计一抢答器,设计要求如下: 1)设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 2)给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,其对应的灯被

数字电子技术课程设计报告-病床呼叫系统

数字电子技术课程设计报告题目:病床呼叫系统 班级: 姓名: 指导老师: 组号:

目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13)

病床呼叫系统 1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下: (1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。 通过对设计的要求分析,可以将电路大致分为四大模块,触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块,通过对四大模块的整合,即可基本达到实验要求,实现系统功能。如图1所示,该系统分为三大部分:左边方框是病房的呼叫输入端,包括5个呼叫按钮;右边方框为护士站的呼叫处理端,包括5个指示灯、一个数码管显示器和一个响应复位开关; 图1 病床呼叫系统设计框图

EDA课程设计--病房呼叫系统地设计

病房呼叫系统的设计 1 设计要求 1.用5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1到5优先级依次降低; 2.用一个数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。 2 设计思路及方案 用74148优先编码器来实现病房呼叫的优先显示,用7447七段字形译码器接半导体数码管来用数字显示最高优先级的病房号,用计数器74193来实现模五的计数器,调节clock输入脉冲的频率来实现五秒的控制来实现闪烁五秒。再用D触发器来实现锁存和复位清零功能。 3 设计过程 3.1 优先编码和数据清零模块 优先编码和数据清零模块的电路图如图1所示。

图1 优先编码和数据清零模块 该病房呼叫系统一共有6个输入,分别是1~5号病房的呼叫开关 num1~num5和1个复位清零健action(初始工作时至“1”),这六个开关配合D触发器来实现清零复位。由于74148低电平有效,所以输入74148前先用非门取反,此电路用到的74148管脚输入对应输出真值表如表1所示。 表1 74148输入输出真值表 输入输出X X X X 0 0 0 1 X X X 0 1 0 1 0 X X 0 1 1 0 1 1 X 0 1 1 1 1 0 0 0 1 1 1 1 1 0 1

模五计数器电路图如图2所示。 图2 模五计数器电路图 如图先将74193接成模五计数器,当有病房呼叫时CLR为0,计数器计数,当没有病房呼叫时计数器清零,通过调节clock输入脉冲的频率来实现闪烁五秒的控制。 3.3 显示病房号功能 显示病房号功能的电路图如图3所示。 图3 显示病房号的电路图 说明:该模块的输入为74148的输入,通过7447七段字形译码器进译码,将结果输出到半导体数码管进行显示。

数电课程设计八路抢答器

《数字电子技术》课程设计 题目八路抢答器 专业班级11级通信工程三班 院(系)信息工程学院 完成时间2013 年11月28日

目录 1课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 3.1方案选择与论证 (1) 3.2抢答器的原理方框图 (2) 4 设计原理与功能说明 (3) 4.1 元器件选用原理 (3) 4.2总体电路原理 (6) 5 单元电路设计 (9) 5.1NE555接成音多谐振荡器构成的报警电路 (9) 5.2复位键与抢答键的工作原理 (9) 6 仿真与电路的连接 (9) 6.1 电路的仿真 (9) 6.2电路的连接 (10) 7 实训报告 (10)

参考文献 (12) 附件一:元器件清单 (13) 附件二:实物图 (14)

1 课程设计的目的 通过这次课程设计,主要了解简单数字电路抢答器的基本工作原理,学会设计与分析优先编码电路、数码显示电路、报警电路,在巩固数电知识的基础上,提高自身逻辑思维能力,拓展实际操作能力,同时学会利用团队力量解决某些技术难关。从而正确设计出各个单元电路,并简单掌握电路仿真技术。 2 课程设计的任务与要求 设计一个八路抢答器,以CD4511集成芯片为核心原件来实现功能要求,在抢答过程中,可以更好的精确选手抢答的先后。此抢答器主要运用于竞赛活动中。 此抢答器可以容纳八个选手,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。[1] 3 设计方案与论证 3.1 方案选择与论证 八路抢答器的关键部分是数字优先编码电路、锁存/译码/驱动电路,由数码显示电路和报警电路组控制信号的产生。下面列出两种方案:方案一: 该方案采用了74LS148来实现抢答器的选号,采用了74LS279芯片实现对号码的锁存,采用了74LS192实现十进制的减法计数,555芯片产生秒脉冲信号来共同实现倒计时,采用了74LS121单稳态芯片来实现报警信号的输

相关文档
相关文档 最新文档