文档视界 最新最全的文档下载
当前位置:文档视界 › 优先病床呼叫器课程设计37745056

优先病床呼叫器课程设计37745056

优先病床呼叫器课程设计37745056
优先病床呼叫器课程设计37745056

《数字电子技术》课程设计题目优先病房呼叫器设计

课程设计成绩评定表

目录

1 引言 (1)

1.1设计的目的 (1)

1.2设计内容及意义 (1)

1.2.1设计内容 (1)

1.2.2设计意义 (1)

2 设计内容及要求 (2)

3 电路设计及分析 (2)

3.1系统结构框图及说明 (2)

3.2系统原理图及工作原理 (3)

3.3单元电路设计 (5)

4 性能测试与仿真 (11)

4.1仿真过程 (11)

4.2仿真结果 (12)

5 设计总结 (15)

5.1对于病房呼叫系统的仿真设计 (15)

5.2收获、体会 (16)

参考文献 (17)

附录Ⅰ器件明细表 (17)

附录Ⅱ仿真电路图 (22)

1 引言

1.1设计的目的

病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。呼叫系的优劣直接关系到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确、可靠、简便可行、利于推广。

1.2设计内容及意义

1.2.1设计内容

本设计采用主从结构,基本运作方式为。监控机构放置在医生值班室内,当病床有呼叫请求时进行光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮相应床位的指示灯,并显示病房数。

1.2.2设计意义

通过对病房呼叫系统电路的设计、安装与调试,熟练掌握各种电子测量仪器、仪表的正确使用方法,熟悉掌握数字逻辑电路原理及各类型数字单元电路的工作原理、电路形式、调试方法、整机电路统调技巧等方面知识;同时通过对系统设计结果的理论分析加强理论联系实际的工作能力,对加强数字逻辑电路原理与技术方法的掌握,得到全面的、系统的训练,为今后从事本专业工作奠定坚实的技术基础。

1

2 设计内容及要求

(1)当有病人紧急呼叫时,产生灯光提示,并显示病人的编号;

(2)根据病人的病情设计优先级别,当有多人呼叫时,病情严重者优先;(3)医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其他呼叫病人的病号。

3 电路设计及分析

3.1系统结构框图及说明

图3.1优先病房呼叫器的结构示意图

2

图3.2优先病房呼叫系统的流程图3.2系统原理图及工作原理

3

4

工作原理:

图3.3优先病房呼叫器原理设计图

病房呼叫系统分为三个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块。这些模块共同工作完成本电路的功能实现。其中运用了8线—3线优先编码器74LS148N来实现优先选择模块主要功能,其中运用自己设计的小规模电路,7段字形译码器74LS48D和七段数码管来实现译码显示模块主要功能。信号呼叫由呼叫显示模块的各个呼叫开关发出并使对应的病床指示灯亮起。接着,信号传到优先选择模块,将优先级别最高的患者的信号选择出来,并传到呼叫模块与译码显示模块;同时译码显示模块通过小规模集成电路与74LS48D将信号变换成对应的患者病房号,并由七段数码管显示出来。当该病患治疗后,断开其对应的呼叫开关,此时该系统将显示下一名较高优先级的病患床号。当所有的病患都已经获得治疗后,该系统将自动将数码管熄灭待机,整个系统恢复待机状态。

3.3单元电路设计

(1)呼叫显示模块的设计

一个或者多个病人通过关闭其对应的呼叫开关,来开启其支路的呼叫显示模块,进而开启整个病房呼叫系统。显示模块采用一般开关驱动,并且每条支路加了限流电阻(500欧姆)为了使得模块能正常工作。

当一名或者多名病人闭合各自的呼叫开关时,其对应的支路接通,并由初始的高电平变为低电平,对应的支路显示灯会亮起,并且同时将有效信号传到下一个功能模块—优先选择模块。

根据设计要求呼叫显示模块的设计如下图所示

5

图3.4 呼叫显示模块的设计电路图

(2)优先选择模块设计

根据设计要求,数码管要显示优先级别最高病房的呼叫信号,所以得对病房呼叫信号进行优先选择并输出。在本设计中,7号病床为优先级最高,然后依次是6,5,4,3,2,1号病床。

在这里,应用了8线-3线优先编码器(74LS148N)进行此功能的实现。其输入为低电平有效,输出编码为反码形式。

6

7

图3.5 74LS148N 优先编码器示意图

8线-3线优先编码器(74LS148N )功能表如下所示:

表3.1 8线-3线优先编码器(74LS148N )真值表

除此之外,根据使能输出端EO的特性:当使能输入端EI为0时并且74LS148N 无有效信号输入时,EO输出为0;当使能输入端EI为0时并且74LS148N为有效信号输入时,EO输出为1。利用EO端的输出来控制数码显示电路的启动与熄灭复位。

8线-3线优先编码器(74LS148N)将输入的低电平有效信号进行优先选择,并且将选择出的信号传到译码显示模块,并且将EO端的输出信号传到七段字形译码器(74LS48D)来启动数码管显示电路。

(3)译码显示模块设计

因为优先选择模块输出的三位二进制编码是所需二进制的反,所以要用74S04D(非门)来转换。同时还需要用译码器74LS48D来把所接收到的二进制数转换成病房号所对应的编码信号。然后再将该编码信号输入到数码管显示器。将正确的呼叫病房号显示出来。数码显示管由七段字形译码器74LS48D,共阴极七段数码管组成。其功能是将输入的BCD码以十进制数字的形式出现在七段数码管上。

图3.6 74LS48D 七段字形译码器示意图

8

表3.2 七段显示译码器(74LS48D)真值表

输入输出

Y c Y d Y e Y f Y g LT~ RBI~ BI~/RBO~ D C B A Y a

Y b

1 1 1 0 0 0 0 1 1 1 1 1 1 0 1 X 1 0 0 0 1 0 1 1 0 0 0 0 1 X 1 0 0 1 0 1 1 0 1 1 0 1 1 X 1 0 0 1 1 1 1 1 1 0 0 1 1 X 1 0 1 0 0 0 1 1 0 0 1 1 1 X 1 0 1 0 1 1 0 1 1 0 1 1 1 X 1 0 1 1 0 0 0 1 1 1 1 1 1 X 1 0 1 1 1 1 1 1 0 0 0 0 1 X 1 1 0 0 0 1 1 1 1 1 1 1 1 X 1 1 0 0 1 1 1 1 0 0 1 1 1 X 1 1 0 1 0 0 0 0 1 1 0 1 1 X 1 1 0 1 1 0 0 1 1 0 0 1

9

1 X 1 1 1 0 0 0 1 1 0 0 1 1 1 X 1 1 1 0 1 1 0 0 1 0 1 1 1 X 1 1 1 1 0 0 0 0 1 1 1 1 1 X 1 1 1 1 1 0 1 1 0 0 0 0

图 3.7 译码显示模块设计电路图

10

4 性能测试与仿真

4.1仿真过程

1.仿真方法介绍:

(1)按照任务书上的要求,结合题型的目的,画出相应的电路框图。根据电路图选择器件连接电路。

(2)设计各个模块的功能以及其详细的电路连接方法。

(3)先在MULTISIM10.0中将各个模块连接到一起,注意检查各个部分是否连接正确和连接端是否连接好。

(4)检查设计思路以及电路,按照设计框图原理,查看仿真电路与原理图是否吻合。如果不吻合则需要就将其逐个问题解决,直到所有问题都解决了为止。反之就可以进行下一步。

(5)单击运行按钮运行仿真。

(6)根据仿真情况与课程设计任务对比,对于不能实现的任务修改并调试程序,重新装载并重新运行调试仿真,直到实现能完全实现所要求的功能为止。

(7)进一步改进和简化程序在进行调试仿真。

2.具体的在MULTISIM10.0下检验病房呼叫系统的步骤如下:

(1)首先触发一个病人信号,看是否产生光报警,数码管是否显示对应的病人编号;直到完成了此功能,进行下一向调试。

(2)向调试触发多个病人信号,同样看是否产生光报警,数码管是否显示最优先的病人编号;如能完成此功能那么它是正确的。

(3)将最高级别呼叫开关断开后,系统按优先等级显示下一个优先级高的病人编号。

(4)当断开所有的呼叫开关后,系统将自动恢复到待机状态:显示灯全灭,显示数码管归零。

3.另外本设计整体功能如下:

(1)当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,等待医护人员来护理。

(2)按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。

(3)当医护人员处理完最高级别呼救后,把该病房开关关闭,系统按优先等级

显示下一个优先级高的病人编号。

11

12

(4)当医护人员治疗完全部的呼叫病患后,系统将自动恢复到待机状态:显示灯全灭,显示数码管归零。

4.2仿真结果

在MULTISIM10.0的仿真界面上可以看如下结果:

(1)系统处于待机状态:显示灯与显示数码管全灭,呼叫模块已经复位。结果如下如图所示:

(2)首先触发一个病人信号:3号,3号对应的发光二极管发光,数码管显示对应的3号病人编号;

完成了此功能。结果如下图所示:

图 4.3.1 待机状态

13

(3)向调试开启1,5,7病人的呼叫信号,1,5,7号对应的发光二极管发光,数码管显示对应的7号病人编号。完成了此功能。 结果如下图所示:

将最高级别(7号)呼叫开关断开后,系统按优先等级显示下一个优先级 高的

图 4.3.2 3号病房呼叫

图 4.3.3 1、5、7号病房呼叫

14

病人编号(5号)。完成了此功能。结果如下:

将下一优先级别(5号)呼叫开关断开后,系统按优先等级显示下一个优先级高的病人编号(1号)。完成了此功能。结果如下

图 4.3.4 1、5号病房呼叫

15

当断开所有的呼叫开关后,系统将自动恢复到待机状态:显示灯全灭与显示数码管归零。

5 设计总结

5.1对于病房呼叫系统的仿真设计

(1)能实现的功能

当有病人紧急呼叫时,产生声,光提示,并显示病人的病房或者病床号号;根据病人的病情设计优先级别,当有多人呼叫时,病情严重者优先;医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其他呼叫病人的病号;拥有自动复位功能。

(2)本设计分为三个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块。这些模块共同工作完成本电路的功能实现。

(3)其中运用了8线—3线优先编码器74LS148N

来实现优先选择模块主要功

图 4.3.5 1号病房呼叫

能,7段字形译码器74LS48D和共阴极七段数码管来实现译码显示模块主要功能。(4)用较为简单的数字电路实现了一个具有实际应用背景的病房呼叫系统的设计。

5.2收获、体会

通过这次实验设计,完成了病房呼叫系统的原理设计与仿真实现,运用模块化的设计思想将系统分为三大功能模块:呼叫显示模块,优先选择模块,译码显示模块。这些模块共同工作完成本电路的功能实现。还充分理解了74LS148N、74LS48D、74S0D、共阴极数码管的引脚图和它们的性质功能。

在这次实验中,更熟悉了Multisim10.0仿真软件的使用,知道了一些元件的,芯片及各种开关的使用方法。在设计过程,经常会遇到这样的情况,就是设计时认为这样的接法可以行得通,但实际模拟仿真时,总是实现不了。所以为了想出恰当正确的连接方法,重新认真的回顾教材,寻找灵感。

其实做课程设计同时也是对课本知识的巩固和加强,平时只停留在书面学习是不够的。当动手实践做课程设计时,很多意想不到的问题就会出现。但是随着亲自动手将问题解决后,发现对于数字电子的知识理解又上了一层。

16

参考文献

[1] 刘全忠,刘艳莉.电子技术(第三版)。北京:高等教育出版社,2003

[2] 阎石.数字电子技术基础(第五版)。北京:高等教育出版社,2006

[3] NI-Multisim 10 经典教程。

附录Ⅰ器件明细表

17

病床呼叫系统讲解

课程设计(论文) 题目名称病床呼叫系统 课程名称电子技术课程设计 学生姓名xxxxx 学号xxxxxxxx 系、专业xxxxxxx 指导教师xxxxxxx 2016年5月31日

摘要 本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。 本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,并且运用74LS138译码器来进行输出的控制,本论文阐述了如何利用数字电路的思想,以及应用proteus仿真软件设计病房呼叫系统,测试,并实现其功能。 关键词:病房呼叫系统,JK同输入触发器,数字电路

目录 摘要............................................................................................................ I 引言.. (1) 1 设计详细任务与要求 (2) 1.1 基本功能 (2) 1.2 呼叫系统的总体框图 (2) 1.3方案设计与论证 (3) 2 设计方案简述 (4) 3 单元电路的设计 (5) 3.1 按键模块及指示灯模块的设计 (5) 3.2 锁存模块及清零模块的设计 (7) 3.3 显示模块及报警模块的设计 (9) 3.4 呼叫系统总电路原理图 (11) 4 病床呼叫系统的功能说明及仿真结果 (12) 5 病床呼叫系统设计总结 (14) 参考文献 (15) 附录 (16)

基于单片机的医院病床呼叫系统设计

基于单片机的医院病床呼叫系统设计 摘要: 本文主要应用单总线技术和单片机间串行通信技术,研究了基于单片机的一种可靠医院病床呼叫系统,并且运用Proteus和Keil软件仿 真效果理想,实现了病床呼叫的功能。它不但能够有效传送呼叫信 息,而且能通过数码显示求助病床号和通过蜂鸣器声音求助医护人 员,还可以存储呼叫信息、以备查询,同时可以扩展到与计算机联 机管理。该系统具有电路结构简单、成本低、可靠性高、布线施工 方便易于维护等特点。特别是当病床数目较多时,更具有优越性。 关键词: 病床呼叫系统串行通信记忆存储单总线技术 中图分类号:TP36 MCU Based Call System Design for Hospital Abstract:This article mainly introduced the application of the single-bus technology and inter-MCU serial communication technical, Studied a reliable call system for hospital based on MCU, and simulated the circuit with Proteus and Keil, the results are satisfactory. The system achieved the function of beds calling, is able to send the call information effectively and display the number of beds through its digital display, ask doctors and nurses for help through Sound buzzer. Besides the system can store call

五路呼叫器课程设计中北大学

测控电路设计 专业:测控技术与仪器 班级:11050341 姓名: 学号:

五路呼叫器 1.设计思路 本次课程设计是基于DE2开发板的设计,因此本电路在总体设计的时候考虑了如下几个方面: (1)呼叫源的输入: 设计要求用五个输入键代替呼叫源。对于DE2板上产生触发脉冲的键,它保持原输入状态只是一瞬间。但在优先级判别过程中必须不断调用原输入状态,所以必须将输入量保存起来。 (2)呼叫源的过程处理: 在本设计要求中,当有多个呼叫同时发生时,用指示灯指明多个呼叫源在同时呼叫,并按优先级顺序由数码管显示多个呼叫源号码。1号呼叫源优先级最高,按顺序5号呼叫源优先级最低。 这次课程设计中我使用了计数器扫描的方式,从一号呼叫源(优先级最高)开始,对各个已经保存的输入量依次进行扫描。当遇到一个高电平,即有呼叫源呼叫时,便对相应的呼叫源进行编码、译码,送到输出端口显示其相应的呼叫号;延时一定时间后,再扫描下一个呼叫源。如果某一呼叫源没有呼叫,则跳过该呼叫源,对下一个呼叫源进行扫描。因此,在呼叫源间的显示不会间隔时间太久,而可以有快速的对应显示。这样由一号呼叫源到五号呼叫源不断地进行循环扫描,其扫描的个数由计数器进行控制。即对五个呼叫源都扫描一次后,对计数器清零,进行下一次扫描。如此不断的循环和显示呼叫源号。当任何一个呼叫源有输入时,扫描器再次从第一个呼叫源开始扫描,做到优先序扫描。 (3)输出处理: 按任务要求和根据DE2板的硬件设置,必须将呼叫源信号编制成对应的BCD码输出。输出的BCD码经DE2板的硬件设置,实现译码和显示。

2.设计方案 2.1设计原理框图 图1设计原理图 2.2主要模块介绍 计数扫描:利用74161进行计数扫描,保证呼叫可以插入。 信号保持:利用74112来保存开关量,把各路输入的信号一直保存到下一个呼叫信号到来为止,而且可以随时插入不同优先级别的呼叫信号。 指示灯显示:当有多个呼叫同时发生时,用指示灯指明多个呼叫源在同时呼叫。利用简单的门电路完成此功能。 选通及优先编码:利用门电路对计数和输入信号进行选通,再通过74148对选通后的信号进行编码,完成按优先级顺序由数码管显示多个呼叫源号码的功能。 3.单元电路设计 3.1输入信号的处理 由于DE2实验板上的触发脉冲按键产生的触发信号只是一瞬间,而在优先级判别和多输入判别的过程中需要多次调用源输入状态,所以需要一个具有锁存功能的的电路将输入信号保存起来。在设计初始,考虑使用SR 锁存器,但是考虑到改电路系统对输入信号要具有单独 选通 译码 计数扫描时钟脉冲信号保持 呼叫输入优先编码 指示灯显示多 个呼叫 译码显示呼叫号

优先病床呼叫器课程设计

优先病床呼叫器课程设计

唐山学院 《数字电子技术》课程设计 题目优先病房呼叫器设计 系 (部) 信息工程系 班级 12电本3班 姓名高宇 学号 4120208324 指导教师成凤敏马军爽樊艳 2014年6 月 30 日至 7 月 6 日共 1 周2014年7月3日

课程设计成绩评定表

目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计内容及意义 (1) 1.2.1设计内容 (1) 1.2.2设计意义 (1) 2 设计内容及要求 (2) 3 电路设计及分析 (2) 3.1系统结构框图及说明 (2) 3.2系统原理图及工作原理 (3) 3.3单元电路设计 (5) 4 性能测试与仿真 (10) 4.1仿真过程 (10) 4.2仿真结果 (11) 5 设计总结 (15) 5.1对于病房呼叫系统的仿真设计 (15) 5.2收获、体会 (16) 参考文献 (17) 附录Ⅰ器件明细表 (18) 附录Ⅱ仿真电路图 (19)

1 引言 1.1设计的目的 病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。呼叫系的优劣直接关系到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确、可靠、简便可行、利于推广。 1.2设计内容及意义 1.2.1设计内容 本设计采用主从结构,基本运作方式为。监控机构放置在医生值班室内,当病床有呼叫请求时进行光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮相应床位的指示灯,并显示病房数。 1.2.2设计意义 通过对病房呼叫系统电路的设计、安装与调试,熟练掌握各种电子测量仪器、仪表的正确使用方法,熟悉掌握数字逻辑电路原理及各类型数字单元电路的工作原理、电路形式、调试方法、整机电路统调技巧等方面知识;同时通过对系统设计结果的理论分析加强理论联系实际的工作能力,对加强数字逻辑电路原理与技术方法的掌握,得到全面的、系统的训练,为今后从事本专业工作奠定坚实的技术基础。

数电课程设计病床呼叫系统

数电课程设计病床呼叫 系统 集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术课程设计报告 题目:病床呼叫系统 班级: 姓名: 指导老师: 组号: 目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13) 病床呼叫系统

1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计 系 (部) 班级 姓名 学号 指导教师 2014 年 12 月 15 日至 12 月 19 日共 1 周 2014年12 月19日

课程设计成绩评定表

目录 1设计题目 (3) 1病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1设计内容 (6) 3.2设计思路 (6) 3.3需要器件 (7) 3.4结构框图 (7) 3.5系统原理图及其工作原理 (8) 3.6各部分功能模块 (12) 3.6.1床位呼叫控制转换模块及原理图 (12) 3.6.2 优先选择模块设计 (13) 3.6.3译码显示模块设计 (16) 3.6.4蜂鸣器模块 (17) 4.软件仿真及其调试 (18) 4.1软件介绍 (18) 4.2仿真方法介绍 (18) 4.3故障分析 (19) 5.结论 (20) 6.心得体会 (21) 参考资料 (22) 附录1仿真电路全图 (23) 附录2 元件清单 (24)

1设计题目1病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时,病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

抢答器控制

智力抢答器控制系统的设计 摘要:利用西门子公司生产的S7-200型PLC,设计了五路智力抢答器控制系统。给出了控制梯形图、程序指令、外部接线图。 关键词:PLC;抢答器;梯形图;程序 市场上有许许多多种抢答器,但功能却各不相同,电路也形形色色,而所选元件也各不相同。这里设计了一款用PLC控制的抢答器,该抢答器集抢答、声音警示、数字显示和计时于一身,借助较少的外围元件完成抢答的整个过程,选用的是西门子公司生产的S7-200系列PLC设计制作了五路抢答器,该设计编程简单,容易理解掌握,且工作稳定可靠。总体电路简单,易于制作。 一、工艺过程及控制要求 这样一个智力抢答器系统,主持人有一个开关控制五个抢答组,当主持人说出题目后,任一组抢先按下按钮,则通过七段数码管显示该最先按下按钮组的编号,使蜂鸣器发出响声,同时锁住抢答器,使其它四组抢答组抢答无效。只有主持人再次按下按钮之后,五组数码管所显示的编号被复位,蜂鸣器停止发出响声,抢答者方可重新开始抢答。 二、控制系统的I/O点及地址分配 根据系统控制要求分析,可知输入点有6个,分别为五个抢答组的抢答按钮和主持人按钮,而输出点,因为在智力抢答器控制系统中要利用七段数码管显示最先按下按钮组的编号,所以要七个输出,分别接数码显示管的a,b,c,d,e,f,g七段,再加上要一个蜂鸣器发出响声,因而总共有8个输出。 具体输入,输出信号及代码,地址编号如表1,表2所示: 表1 输入信号及代码、地址编号 表2 输出信号及代码、地址编号

三、可编程控制器的选型 该系统我们用到的是西门子S7-200系列,该系列在集散自动化系统中充分发挥其强大功能。使用范围可覆盖从替代继电器的简单控制到更复杂的自动化控制。随着科技的不断进步,PLC的种类日益繁多,功能也逐渐增强。 S7-200系列PLC有4个不同的基本型号。 (1)CPU 221 本机集成6输入/4输出共10个数字量I/O点。无I/O扩展能力。6K字节程序和数据存储空间。4个独立的30kHz高速计数器,2路独立的20kHz高速脉冲输出。1个RS485通讯/编程口,具有PPI通讯协议、MPI通讯协议和自由方式通讯能力。 非常适合于小点数控制的微型控制器。 (2)CPU 222 本机集成8输入/6输出共14个数字量I/O点。可连接2个扩展模块,最大扩展至78路数字量I/O点或10路模拟量I/O 点。6K字节程序和数据存储空间。4个独立的30kHz 高速计数器,2路独立的20kHz高速脉冲输出,具有PID控制器。1个RS485通讯/编程口,具有PPI通讯协议、MPI通讯协议和自由方式通讯能力。是具有扩展能力的、适应性更广泛的全功能控制器。 (3)CPU 224 本机集成14输入/10输出共24个数字量I/O点。可连接7个扩展模块,最大扩展至168路数字量I/O点或35路模拟量I/O 点。13K字节程序和数据存储空间。6个独立的30kHz高速计数器,2路独立的20kHz高速脉冲输出,具有PID控制器。1个RS485通讯/编程口,具有PPI通讯协议、MPI通讯协议和自由方式通讯能力。I/O端子可很容易地整体拆卸。是具有较强控制能力的控制器。 (4)CPU 226 本机集成24输入/16输出共40个数字量I/O 点。可连接7个扩展模块,最大扩展至248路数字量I/O 点或35路模拟量I/O 点。13K字节程序和数据存储空间。6个独立的30kHz高速计数器,2路独立的20kHz高速脉冲输出,具有PID控制器。2个RS485通讯/编程口,具有PPI通讯协议、MPI通讯协议和自由方式通讯能力。I/O端子可很容易地整体拆卸。 用于较高要求的控制系统,具有更多的输入/输出点,更强的模块扩展能力,更快的运行速度和功能更强的内部集成特殊功能。可完全适应于一些复杂的中小型控制系统。 现在西门子公司新推出一种增强型的CPU226XM,它在用户程序存储容量上扩大到8K 字,其它性能指标和CPU226相同。 而对于智力抢答器系统,分析可知,系统共有输入点6个,输出点8个,从功能的实现来看,根据比较上述各种CPU分析可知,输入输出点数较的CPU221,CPU222不足以满足要求,而用CPU224和CPU226都可以完成任务。但是用太的输入/输出点数的CPU实现小点数的控制系统就很不划算了,就如,如果选用CPU226PLC,则价格较高,造成硬件资源的浪费。 所以,综合分析,该智力抢答器控制系统我采用西门子S7-200 CPU224(14输入/10输出),这样的配置合理又经济,即使输入/输出点数与所需要的输入/输出点数不是完全相等,多出了几个点数,但可以随时增加其它的控制功能。 四、输出接口电路 智力抢答器系统的I/O接口电路图如图 1 所示:

病床呼叫控制系统最终完美版

学院课程设计说明书设计题目: 病床呼叫控制系统设计 学生姓名:李庆彬 学号: 专业班级:机制 F1307 指导教师:王宗才 2017 年 1月 6 日

内容摘要 可编程序控制器,英文称Programmable Controller,简称PC。但由于PC 容易和个人计算机(Personal Computer)混淆,故人们仍习惯地用PLC作为可编程序控制器的缩写。随着社会的进步和发展,医疗水平的不断提高,现代医院护理需要简易及时地获知并处理病人的突发病况,实现患者在住院的任意时间可请求医生或护士进行诊断或护理。基于PLC设计的医院病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,能及时、准确、可靠地实现病房呼叫管理,避免了人工呼叫的不便与效果差等缺点,它是现代医院提高医院和病室护理水平必不可少的设备。 有了病床呼叫控制系统,医院的护理工作变得更加方便全面,不用再为值班医生和护士未能及时发现突发病况而烦恼。基于可编程控制器PLC设计的病床呼叫系统可以及时、准确、可靠地实现病房呼叫管理,具有良好的应用前景。 关键词:可编程控制器(PLC);病床呼叫;控制系统

目录 第1章引言................................................. 控制要求............................................................ 课题要求............................................................ 设计思路............................................................ 第2章 PLC控制系统硬件设计.................................. 控制要求分析...................................................... 系统控制流程图.................................................... 确定I/O信号数量.................................................. 选择PLC类型...................................................... I/O分配表......................................................... PLC输入输出设备接线图............................................ 第3章 PLC控制系统软件设计.................................. 系统控制梯形图的构思 ............................................. 系统控制梯形图的具体编写过程..................................... 1房病人呼叫时梯形图设计 ................................. 其它病房呼叫梯形图编写过程................................. 第4章程序的调试与仿真...................................... 系统调试方法....................................................... 系统调试过程及效果................................................. 结论 .......................................................... 致谢 .......................................................... 附录1 病床呼叫控制系统梯形图................................ 附录2 病床呼叫控制系统程序语句表 ........................... 参考文献......................................................

plc课程设计病床呼叫系统

目录 第1章控制工艺流程分析 (1) 1.1病床呼叫系统控制过程描述 (1) 1.2. 病床呼叫系统控制工艺分析 (1) 第二章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.4系统结线图设计 (3) 第3章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制程序时序图设计 (5) 3.3 控制程序设计思路 (7) 第4章监控系统设计 (8) 4.1 PLC与上位监控软件通讯 (8) 4.2 上位监控系统组态设计及实现效果 (9) 第5章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 第6章课程设计心得 (11) 参考文献 (12) 附录 (13)

第1章控制工艺流程分析 1.1病床呼叫系统控制过程描述 患者在住院期间,可能会在任意时间请求医生或护士进行诊断或护理。临床救助呼叫是传送临床信息的重要手段,病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,要将患者的请求快速传送给值班医生或护士,并在护士站的监控中心留下准确完整的记录,是提高医院和病室护理水平的必备设备之一。病床呼叫系统要求及时、准确、可靠,简便可行,利于推广,其性能的优劣直接关系到病员的安危,因此历来受到各大医院的普遍重视。 本实验应用可编程控制,以一种以PLC为核心的智能化病床呼叫系统。该系统利用PLC特殊的I/O接口,是系统构成更加简单,充分展示了它的特色和应用前景。该系统具有呼叫、灯光报警、振铃、先是排队、优先权设定等功能,可满足医院对病房管理和护理的要求。 1.2. 病床呼叫系统控制工艺分析 1.共有3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s 内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。

数字电子技术课程设计报告-病床呼叫系统

数字电子技术课程设计报告题目:病床呼叫系统 班级: 姓名: 指导老师: 组号:

目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13)

病床呼叫系统 1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下: (1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。 通过对设计的要求分析,可以将电路大致分为四大模块,触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块,通过对四大模块的整合,即可基本达到实验要求,实现系统功能。如图1所示,该系统分为三大部分:左边方框是病房的呼叫输入端,包括5个呼叫按钮;右边方框为护士站的呼叫处理端,包括5个指示灯、一个数码管显示器和一个响应复位开关; 图1 病床呼叫系统设计框图

五路呼叫器

广东工业大学 课程设计说明书 题目名称五路呼叫器 学生学院自动化学院 专业班级08级电力(2)班 姓名 学号 任务书: 题目:五路呼叫器 一、设计任务与要求 设计一个五路呼叫器,具体设计要求如下: 1.五个按键模拟五个呼叫源 2.当有一个按键按下时,由数码管显示呼叫源号码 3.当有多个呼叫同时发生时,用指示灯指明多个呼叫源在同时呼叫,并按优先级顺序由数码管显示多个呼叫源号码。1 号呼叫源优先级最高,按顺序5号呼叫源优先级最低。 二、设计思路

本电路设计可以考虑如下几个方面: 1.呼叫源的输入: 设计要求用五个输入键代替呼叫源。对于DE2上产生触发脉冲的键,它保持原输入状态只是一瞬间。但在优先级判别过程中必须不断调用原输入状态,所以必须将输入量保存起来。可以用 74LS373来保存开关量;也可以由一个基本R S触发器作为输入信号寄存器(共五个),把各路输入(IN X)的信号一直保存到复位信号(R e set)到来为止,而且可以随时插入不同优先级 别的呼叫信号。 2.呼叫源的过程处理: 在本设计要求中,当同时有多个呼叫源呼叫时,必须按优先顺序,先显示优先级高的呼 叫源,后显示优先级低的呼叫源。在这里我们可以采用计数器扫描的方式,从一号呼叫源(优 先级最高) 开始,对各个已经保存的输入量依次进行扫描。当遇到一个高电平,即有呼叫源 呼叫时,便对相应的呼叫源进行相应的编码、译码,送到输出端口显示其相应的呼叫号;延时一定时间后,再扫描下一个呼叫源。如果没有呼叫,跳过该呼叫源,再对下一个呼叫源进行扫描。所以,在所有呼叫源间的显示不会间隔时间太久,而可以有快速的对应显示。这样由一号呼叫源到五号呼叫源不断地进行循环扫描,其扫描的个数由计数器进行控制。即对五个呼叫源都扫描一次后,对计数器清零,进行下一次扫描。如此不断的循环和显示呼叫源号。另一种方法是将各个已经输入处理保存的输入信号送到各自的下一级与门,等待选通信号的到来就输送到优先编码器,I0~I9的输入信号分别编为10个对应的BC D 码,并且I9的优先权最高,I0的最低,有效地防止其他各路的干扰输入。选通信号的产生是由五个R S触发器构成。实际上选通信号是一个顺序脉冲,由移位寄存器组成的环形计数器,在每个状态中只有一个循环状态。这方案采用自反馈逻辑电路进行自启动,优点在于不必附加译码电路,结构比较简单。 3.输出处理: 按任务要求和根据学习机的硬件设置,必须将呼叫源信号编制成对应的BC D码输出。输出的BC D 码经DE2的硬件设置, 实现译码和显示。 三、模拟仿真

病床呼叫系统

电子课程设计报告 姓名:郭鹏程叶青胡乾 学号:1204080319 班级:电子信息1201 学院:电气与电子工程学院 专业:电子信息工程 教师:肖忠

基于单片机的病床呼叫系统 摘要 病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C52单片机为核心辅以独立键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示房间号。这里主要是独立键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的房间号,多人使用时可实现循环显示,根据按键按下时间长短判断情况缓急,医护人员按下“响应”键取消当前呼叫,按下清零键清除所有呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C52,独立键盘,LED,病床呼叫

目录 前言 (4) 第一章系统整体设计 (5) 1.功能要求: (5) 2.系统模块方案的选择与论证 (5) 3.框架模块功能描述 (7) 第二章系统硬件设计 (7) 1.供电电路 (7) 1.主控电路 (8) 2.报警电路 (9) 3.显示电路 (10) 4.时钟电路 (10) 5.复位电路 (11) 6.输入电路 (11) 第三章系统软件设计 (13) 1. 系统主程序 (13) 2. 显示程序 (14) 3. 按键判断 (14) 第四章系统调试与结果 (15) 1. Protues仿真 (15) 2. 系统调试过程与问题分析 (16) 3. 结果分析 (17) 第五章设计总结、心得 (18) 参考文献 (18) 附录 (19)

简易病床呼叫系统

简易病床呼叫系统 一、系统介绍 本简易病床呼叫系统的功能是:启动系统后,数码管显示0。当有一个病人呼叫时,数码管显示相应病房号,同时蜂鸣器响起;当有两个病人同时呼叫时,数码管显示优先级高的,同时蜂鸣器响起;当有一个病房呼叫后另一个病房呼叫,若后呼叫的病房优先级低,则数码管显示不变,若后呼叫的病房优先级高,则数码管显示后呼叫的,两种情况下蜂鸣器都会叫,只是在有别的病房呼叫时有一点变音。在本系统中,设病房1到4优先级递减。 本简易病床呼叫系统基于AT89C51单片机,振荡电路的晶振采用12MHz,由控制核心AT89C51单片机、电源电路、振荡电路、复位电路、病房选择和七段数码管等部分组成,系统框图如下: 图1 系统框图 本系统利用了单片机AT89C51内部时钟信号,外接晶振和电容进行微调频率,用单片机的I/O口对数码管进行静态驱动,在此基础上,增加了复位电路和

选择电路。通过选择电路可以确定几号病房呼叫,用复位电路进行清零。 二、电路图 电路设计完成后,通过Proteus进行仿真,仿真电路如下图2。 图2 系统仿真电路 本系统选择电路利用四个按键开关模拟病房1--4呼叫,分别连接到单片机的P3^0、P3^1、P3^2、P3^3,按下开关说明相应的病房呼叫。单片机的P0口外接排阻和数码管,复位电路和蜂鸣器分别与P3^4和P1^7相连。 系统通电后,仿真电路结果如下图3:

图3 通电后系统仿真电路 按下第一个开关,模拟1号病房呼叫,仿真结果如下图4: 图4 1号病房呼叫仿真结果

若同时按下第一个开关和第二个开关, 则数码管只显示“1”,仿真如下图5: 三、系统程序流程图 本系统程序流程图如下: 开始 工作

好利通H-48路楼层呼叫器说明书

好利通? H-48智能楼层呼叫器说明书 接收主机可根据需要与发射分机组成完整的呼叫系统, 一、按键说明 1.主机左侧上方为清零复位键,按下时清楚屏幕所有显示。 2.主机左侧下方为开机开关,朝上为开机、朝下为关机。 3.主机右侧上方为电源插口,电源为12V1A开关电源,下方为天线。 二、操作说明 1.上班时首先开机,下班时关机。 2.当按下楼层发射按钮时,接收主机对应楼层LED指示灯亮,并带有声音提示。 3.电梯开到相应楼层接人后,按下清零按钮复位。 4.主机要开机时,处于低电压时,电源灯会闪烁。说明本机的内置电池电量低。 5.电量低时插上电源,充电灯会亮起,充饱时充电灯会灭。 6.主机的设置方法,开机后处于待机状态,按住清零键三秒左右,进入设置,主机也会相应报出【设置】语音。对码时按住主机中【清零键】跟【分机按钮】同时按住【三秒钟】,如果设置成功,主机会提示语音【对码成功】。如果主机在设置中三秒钟之内不在任何操作,主机自动退出到待机状态、 7.开机后处于待机状态,按住清零键三秒左右,进入设置,主机也会相应报出【设置】语音。如果要【移位】想要的号码,轻按一下【清零键】,按一下移一位数。如果主机在设置中三秒钟之内不在任何操作,主机自动退出到待机状态。 8.整机恢复出厂设置。开机后处于待机状态,按住清零键三秒左右,进入设置,主机也会相应报出【设置】语音。然后移位到48号完后,在移一位,那时候1-48个灯会全亮起, 长按【清零键】主机会语音提示【恢复出厂设置】,如果主机在设置中三秒钟之内不在任何操作,主机自动退出到待机状态。 三、安装说明 1首先将主机安装到驾驶室里驾驶员正前方,将插头插入220V插座或直接接入220V电源。2将发射按钮固定在每层电梯口(每个按钮上有相应楼层号) 四、故障处理 1.当开关电源损坏,主机充电灯不亮,请更换开关电源12V1A。不可使用非标准不合格电源。 2.当主机能开机不能正常工作,请检查电池有没有电。电池处于低电压,电源灯会闪烁。 3.主机一点反应都没有,开机也开不起,请及时充电。 4. 当主机声音小时,请检查喇叭是否,被遮挡。 5 .当主机接收不到信号,请检查一下,分机是否有电,如果分机电量低了,会影响发射距离效果。请更换分机的电池。 五、技术参数 接收主机 工作电压:12V1A内置充电池:7.2V 静态工作电流:<20mA 接收灵敏度:<5mV 动态工作电流:<100mA 扬声器输出功率1W 工作环境温度:-40~80℃ 发射分机

优先病床呼叫器

唐山学院 《数字电子技术》课程设计 题目优先病房呼叫器设计 系 (部) 信息工程系 班级 12电本3班 姓名高宇 学号 4120208324 指导教师成凤敏马军爽樊艳 2014年6 月 30 日至 7 月 6 日共 1 周2014年7月3日

课程设计成绩评定表

目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计内容及意义 (1) 1.2.1设计内容 (1) 1.2.2设计意义 (1) 2 设计内容及要求 (2) 3 电路设计及分析 (2) 3.1系统结构框图及说明 (2) 3.2系统原理图及工作原理 (3) 3.3单元电路设计 (5) 4 性能测试与仿真 (10) 4.1仿真过程 (10) 4.2仿真结果 (11) 5 设计总结 (15) 5.1对于病房呼叫系统的仿真设计 (15) 5.2收获、体会 (16) 参考文献 (17) 附录Ⅰ器件明细表 (18) 附录Ⅱ仿真电路图 (19)

1 引言 1.1设计的目的 病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。呼叫系的优劣直接关系到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确、可靠、简便可行、利于推广。 1.2设计内容及意义 1.2.1设计内容 本设计采用主从结构,基本运作方式为。监控机构放置在医生值班室内,当病床有呼叫请求时进行光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮相应床位的指示灯,并显示病房数。 1.2.2设计意义 通过对病房呼叫系统电路的设计、安装与调试,熟练掌握各种电子测量仪器、仪表的正确使用方法,熟悉掌握数字逻辑电路原理及各类型数字单元电路的工作原理、电路形式、调试方法、整机电路统调技巧等方面知识;同时通过对系统设计结果的理论分析加强理论联系实际的工作能力,对加强数字逻辑电路原理与技术方法的掌握,得到全面的、系统的训练,为今后从事本专业工作奠定坚实的技术基础。 1

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计系(部)班级姓名学号指导教师 2014 年12 月15 日至12 月19 日共 1 周 2014年12 月19 日

课程设计成绩评定表

目录 1 设计题目. (3) 1 病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1 设计内容 (6) 3.2 设计思路 (6) 3.3 需要器件 (7) 3.4 结构框图 (7) 3.5 系统原理图及其工作原理 (8) 3.6 各部分功能模块 (12) 3.6.1 床位呼叫控制转换模块及原理图. (12) 3.6.2 优先选择模块设计 (14) 3.6.3 译码显示模块设计. (16) 3.6.4 蜂鸣器模块. (17) 4.软件仿真及其调试 (18) 4.1 软件介绍 (18) 4.2 仿真方法介绍 (18) 4.3 故障分析 (19) 5.结论 (20) 6.心得体会 (21)

参考资料 (22) 附录1 仿真电路全图 (23) 附录2 元件清单. (24) 1 设计题目 1 病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时, 病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

8路呼叫器

电子技术综合训练 题目: 专业:通信工程 班级:13/1 姓名:李子珍学号:201327105 指导教师:陈晓维 时间:2015.7-6~7-17(第18、19 周) 地点:通信与电子信息工程中心

参考文献 1.《电子线路(线性部分)》,主编:谢嘉奎出版社:高等教育出版社 2003 2.《数字电子技术基础(第五版)》主编:阎石出版社:高等教育出版社 2006 3. 4. ???. 1、课程名称:八路呼叫器 2、设计任务、技术指标和要求: 任务: (1)、运用数字电子技术、模拟电子技术的知识进行电路设计。 (2)、提高识读中小规模集成芯片的能力,能够熟练地、合理地选用集成电路器件。 (3)、掌握74LS148、74LS283 、74LS374、74LS04N、74LS30D、CD4511、数码管、555 等单元电路的综合应用。 (4)、掌握稳压电源的整流、滤波、稳压工作原理。 (5)、熟悉八路呼叫器的工作原理。 (6)、掌握用Multisim 软件对该系统进行仿真的方法。 (7)、提高电路布局、布线及检查和排除故障的能力,培养书写综合实验报告的能力。 要求: 1、当某一路有呼叫时,显示该路编号; 2、同时声光报警,报警时间 2 秒;

3、报警状态可手动通过按键切除。 4、设计出实现电路 5、用 Multisim 软件对该系统进行仿真 锁存控制信号触发单稳态电路, 产生脉宽大约为 2S 的脉冲信号不连接多谐振 荡器,直接作用于三极管驱动报警装置,不易产生错误;其次,当某一路有 呼叫信号输入时,该信号将被送到 74ls148 中进行编码,然后经过锁存器进 行锁存,锁存器将锁存 4、总体电路的功能框图及其说明。 ; 显示 6、用万用板焊接器件,制作电路,完成调试、撰 写设计报告 译码驱声光报

病床呼叫系统控制课程设计..

目录 第1 章控制工艺流程分析 (1) 1.1 病床呼叫系统控制过程描述 (1) 1.2 病床呼叫系统控制工艺分析 (1) 第2 章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.2 控制方法分析 (2) 2.3 I/O分配 (3) 2.4 系统结线图设计 (4) 第3 章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制系统时序图 (5) 3.3 控制程序设计思路 (7) 第4 章监控系统设计 (8) 4.1 PLC 与上位监控软件通讯 (8) 4.2 上位监控系统组态设计 (8) 4.3 实现的效果 (8) 第5 章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 课程设计心得 (11) 参考文献 (12) 附录 (13)

第 1 章控制工艺流程分析 1.1 病床呼叫系统控制过程描述 本课程设计主要用于医院病务区的病人有紧急或自己不方便的事件时呼叫护士站寻求帮助,护士根据站内指示灯及响铃获取求助信息的来源,并能及时的给其提供帮助。护士在站内发现信号时及时将站内灯及响铃复位,在处理完后再在病床前的开关将病床及病房的灯复位。 1.2 病床呼叫系统控制工艺分析 病床呼叫系统有主机、从机等两部分组成。从机(呼叫源)即病床按钮,主机包括PLC及显示和监护系统。主机中PLC工作方式为循环扫描方式,在系统程序控制下,PLC顺序读入输入端口各呼叫源的状态,并且不断地循环扫描。一旦有呼叫按钮按下,PLC立即响应,通过设置的程序实现对系统的控制。 ①拟定控制系统设计的技术要求; ②选择电气传动形式和电动机、电磁阀等执行元件; ③选定PLC型号; ④编制PLC的输入/输出端子接线图; ⑤根据系统设计的要求编写软件规格说明书,然后在用相应编程语言进行程序设计; ⑥设计操作台、电气柜及非标准电器元件; ⑦编写设计说明书和使用说明书。 1.共3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。 6.主机监控系统显示后,出现相应的声、光报警指示,以便提示医护人员尽快赶到现场。

相关文档
相关文档 最新文档