文档视界 最新最全的文档下载
当前位置:文档视界 › (修改好)基于单片机与FPGA的实用信号源的设计

(修改好)基于单片机与FPGA的实用信号源的设计

(修改好)基于单片机与FPGA的实用信号源的设计
(修改好)基于单片机与FPGA的实用信号源的设计

实用信号源的设计

班级:07级电信(2)姓名:李威学号:1665070210

摘要:

本设计选用Altera公司的EPF1OK10LC84芯片作为数字处理主芯片、 Atmel公司的AT89C51单片机作为控制芯片,采用直接数字频率合成技术。利用MAX+plus II 10.0并结合VHDL语言设计FPGA,利用Wave 6000并结合汇编语言设计MCU。经模拟仿真达到了预期的性能要求。

关键词:信号源;直接数字频率合成;EDA;FPGA;单片机

一.设计的原理

1.1 本设计采用DDS技术

该技术是一种用数字控制信号的相位增量技术,具有频率分辨率高、稳定性好、可灵活产生多种信号的优点。基于DDS技术的信号源是通过改变相位增量值(每个时钟周期的度数)来改变输出频率的。所有相位点的数据都放在查找表中。采用插值描点的方式,将要产生的波形用若干个点代替,然后依次输出,每个点的电平由查找表中的相应点的数据经过D/A得到。这个过程相当于采样保持及A/D转换的逆过程。将经过D/A转换器产生的波形经过低通滤波,就得到了所需要的波形。控制D/A转换器的参考电压,就可以实现幅值可调;控制两个插值点之间的相位,就可以实现频率可调。

本设计的控制器利用单片机完成,DDS合成芯片利用FPGA实现。单片机通过键盘进行人机交互,获得欲输出信号的波形,幅值,频率,或者,通过A/D转换器获得欲再生的波形;然后,将生成波形的参数送给FPGA芯片,并控制启动FPGA芯片工作。FPGA芯片控制基准电源产生合适电压,作为D/A转换器的参考电压,然后从查找表中获得数据,送到D/A转换器,送出一个点的数值。依次处理完查找表一个周期数据后,再重新开始,输出下一个周期的波形。经过D/A转换的信号再经过低通滤波,滤掉插值频率和高次干扰波,就可以得到需要的任意波形。控制单片机上外接的A/D转换器和片外的EEPROM,即可实现波形的采集与存储。

根据设计要求、实际需要及实验室条件,相应地选择了以下器件及设计工具。在进行系统硬件电路设计时,选用Atmel公司的AT89C51单片机作为控制主芯片、Altera公司的EPF10K10LC84作为DDS合成FPGA芯片。选择Capture CIS设计系统电路的原理图,选择PowerPcb 5.0设计PCB图,选择PSpice软件和Matlab软件进行模拟仿真。在进行FPGA芯片设计时,选用了Altera公司的MAX+plus II 10.0 工具作为芯片设计平台,选择VHDL硬件描述语言进行设计;在进行单片机设计时,选用Wave公司的Wave6000和汇编语言进行设计。

1.2 DDS(直接数字合成)技术理论

DDS技术是一种用数字控制信号的相位增量技术,具有相位连续、频率分辨率高、频率转换速率快、稳定性好、可灵活产生多种信号的优点。它采用插值取样的方式,将要合成的波形用若

干个点代替,然后依次等时间间隔输出,每个取样点的电平由预先存贮好的数据经过D/A得到。这个过程相当于采样保持及A/D转换的逆过程。控制两个取样点之间的时间间隔及相位,就实现了频率连续可调。DDS由于具有极高的频率分辨率,极快的变频速度,变频相位连续,相噪较低,易于功能扩展和全数字化便于集成等优点。

1.3 DDS设计原理和结构

其主要部件完成功能如下:

相位累加器(Phase Register)功能是控制每次采样的相位增量,并输出模2π的累积相位,相位累加器可用一累加器完成。

频率控制字FC(Frequence Control)决定了每次累加的相位增量。

二.系统硬件结构图

本设计遵循尽量使电路简单和模块化,并充分利用软件智能化功能这一原则,在实现硬件电

2.1 整个系统设计

分为控制电路和数据处理电路两大部分,控制电路以Atmel公司的AT89C51单片机为核心,加上一些外围电路组成,主要完成人机对话、数据接口、显示信息以及控制数据处理电路工作等功能。数据处理电路以Altera公司的FPGA芯片为核心,结合D/A转换器以及低通滤波器,实现DDS 数据处理,完成任意波形的输出。整个系统的工作过程是:首先单片机通过串口通信或键盘输入得到命令,然后将要生成波形的参数数据送入FPGA芯片,最后控制启动FPGA芯片工作。FPGA芯片完成DDS数据处理功能。将波形幅值数据送入第一个D/A转换器,其输出作为第二个D/A转换器的参考电压,以达到控制输出波形幅值的目的。将波形数据送入第二个D/A转换器,以实现任意波形的输出。

2.2 AT89C51的时钟电路

AT89C51的时钟可以通过两种方式产生:内部振荡方式和外部振荡方式。本系统中采用内部振荡方式。即在XTAL1和XTAL2两端跨接石英晶体和两个微调电容,就可以构成稳定的自激振荡器并产生振荡时钟脉冲。其时钟电路如下图所示。

时钟电路

2.3 AT89C51的复位电路

复位电路的功能是产生复位信号,即能在Vcc、振荡器和反偏置发生器稳定后,给RESET引脚提供至少能维持两个状态周期的低电平信号,而后由片内的上拉电路将RESET引脚的电位拉高以使单片机执行10个状态周期的复位序列。

AT89C51的复位电路分片内、片外两部分,RESET引脚为复位引脚,复位信号通过RESET加到单片机的内部复位电路上。内部复位电路在每个机器周期S2P2对片外复位信号采样一次,当RESET 引脚上出现连续两个机器周期的高电平时,单片机就能完成一次复位。外部复位电路就是为内部复位电路提供两个机器周期以上的高电平而设计的[18]。AT89C51通常采用上电自动复位和按键手动复位两种方式。图3.2.3是系统的单片机复位电路。该复位电路既可以实现上电自动复位,又可以实现人工手动复位。在加电瞬间,电容通过电阻充电,就在RESET端出现一定时间的高电平,只要高电平时间足够长,就可以使单片机有效的复位。当按下开关时,就在RESET端就出现一段时间的高电平,使单片机复位。

复位电路

2.4 芯片的应用功能及使用配置

在本设计中,FPGA有两个功能,其主要功能是进行DDS处理,生成任意波形;第二个功能是作为功能寄存器,将单片机传来的64位数据分开成8位的波形选择寄存器、8位的幅值寄存器、12位的相位控制字、12位的频率控制字和24位的分频控制字寄存器,同时产生EN信号,提供给液晶显示使用。与这两个功能对应,FPGA芯片内部由功能寄存器模块和DDS处理模块两个模块组成。(1)引脚及其功能

该芯片共有84个引脚。除去下载电路和电源、地线所用去的引脚外,还有53个I/0引脚和2个全局输入时钟引脚可供使用。本设计使用了其中的38个引脚,下面按照其实现的功能将它们分成三组,各引脚功能说明如下:

第一组实现功能寄存器功能,将单片机传来的64位数据分开。作为输入的信号有AD0~AD7,接单片机P0口,ALE引脚作为功能寄存器的控制信号,低电平时锁存,外部接单片机ALE引脚。同时单片机的WR和RD引脚通过与非门产生EN信号,控制液晶显示模块的工作。

第二组实现DDS数据处理功能,作为DDS处理模块,需要连接数据总线,和地址总线。地址总线一共有3根,用来寻址DDS内部的5个命令字寄存器,数据总线一共有8根,用来与单片机交换数据。CLK作为全局时钟信号,为DDS芯片提供工作时钟;ENA引脚接单片机的A3,作为片选信号,低电平有效,此时可以将数据写入命令字寄存器。作为DDS模块的输出有两组ADP0~ADP7,ADV0~ADV7。ADV0~ADV7作为波形幅值控制信号输出,接第一个D/A转换器的数据端输入,其输出作为第二个D/A转换器的参考电压,以达到控制幅值的目的。ADP0~ADP7接第二个D/A转换器的数据端输入,达到生成任意波形的目的。

(2) FPGA与单片机的连接方式

本设计中,FPGA与单片机的连接方式如下图所示。可以看出,当作为DDS数据处理芯片时,该芯片作为单片机的外围电路使用。在DDS与单片机连接时,采用了直接访问方式。直接访问方式就是将DDS模块的接口作为存储器或I/O设备直接挂在单片机总线上,单片机以访问存储器或I/O设备的方式控制DDS模块的工作。

FPGA与单片机的连接方式

三.外设及接口电路实现

3.1 液晶显示

液晶显示作为单片机的外围电路,起着提供人机交互界面和提供系统运行状态的作用。市面上的液品显示屏很多,大多都己集成了液品显示控制电路。选用何种控制芯片的显示屏就决定了液晶显示屏的工作方式。根据本设计需要,选用了精电的MDLS40266液晶显示屏。该液晶显示屏内置一块HD44780液晶显示驱动控制器。这种液晶显示电路简单,价格便宜,易于操作。它具有字符发生器ROM,可显示192种字符[19]。具有64个字节的自定义字符和80个字节的RAM,单+5电源供电。液晶显示电路以直接访问的方式与单片机相连,其与单片机的连接电路如下图所示。

液晶显示器与单片机的连接电路

3.2 串口通信

为实现本系统与微机之间的通信,本系统利用AT89C51的一个异步通信串行接口,并选用了MAX232芯片作为电平转换和收发器。其电路图如下图所示。

串口通信电路

3.3 波形生成电路

通过DDS处理后的信号还是数字信号,只有通过D/A转换电路才能将数据转换成为我们需要的波形,波形生成电路如下图所示。

可以看出该电路由两个D/A转换电路组成,DAC0832是电流输出型D/A转换器,必须外接运放构成D/A转换电路。下面一个D/A转换器输入接DDS芯片,输出接第二个D/A转换器的参考电压。这

是输出波形幅值控制电路,控制输出波形电压。该电路采用单极性输出,输出电压反相,输出电压范围-5~0V,第二个转换器输入接DDS芯片输出的波形数据,采用双极性输出,电压输出范围为-5~5V。该电路输出电压反相,但由于其参考电压已反相,所以输出波形正确。

波形生成电路

3.4 整体设计结构

本设计中,FPGA芯片主要作为DDS数据处理芯片,另外还附带一个其它功能:功能寄存器。因此,在FPGA芯片设计时,整体上将FPGA芯片划分为两个功能模块:功能寄存器模块和DDS模块。

功能寄存器模块的结构示意图如下图所示。

功能寄存器的结构示意图

结合上图,介绍功能寄存器的工作过程。当ALE来一个上升脉冲,8位锁存器把AD0~AD7上的数据锁存到锁存器中,同时通过并-串转换器把8位并行数据转换成一位位的数据。A0~A2通过译码器译出5个控制寄存器的使能信号,当其使能信号有效时,便把并-串转换器输出的数据一位位移入寄存器中。功能寄存器内部有5个控制寄存器,他们分别控制波形选择、幅值大小、相位、频率和分频系数。WR和RD信号通过与非门产生EN信号控制液晶显示器的工作。

DDS模块的结构示意图下如图所示。

DDS模块的结构示意图结合上图,DDS模块工作的过程如下:DDS模块在全局时钟CLK的驱动下,启动24位分频器,对50MHz的时钟信号进行分频,分频系数由功能寄存器中的分频系数寄存器给出,分频器输出的新时钟信号驱动相位寄存器工作和控制查找表中各点的间隔。当A3信号有效时,启动频率、相位累加器工作。频率控制字通过累加器不断的在原来的基础上加上一个固定的值,然后通过相位寄存器输入到相位累加器中。相位累加器再把相位寄存器输出的值和相位控制字相加产生各点在查找表的有效地址。最后通过查询查找表中的数值,再通过波形选择开关将数值输出到第二个DAC0832中。而幅值寄存器的输出直接通过锁存器输出到第一个DAC0832中,作为第二个DAC0832的基准电压,最后通过低通滤波器滤波后产生所需要的波形。

四.设计模块的划分和各模块设计、仿真

整个设计只有一个顶层模块,按照功能要求划分成两个功能模块,即功能寄存器模块和DDS 模块。功能寄存器模块主要是对单片机传过来的64位控制字进行分开,子模块比较简单,就不必细说了。设计的主要部分是DDS模块,它是本系统的核心部分,可以分为6个主要的模块,即分频器模块、相位累加模块、相位锁存模块、频率累加模块和查找表模块。

本设计中,功能寄存器模块的实现比较简单,下面主要介绍DDS处理子模块的实现过程。

4.1 分频模块(COUNTER_24)

分频模块是实现对全局时钟进行分频,其实质上就是一个计数器,它有3个输入:CLOCK,CLR,FENPIN_WORD;一个输出:NEWCLK。其外部端口如下图所示:

COUNTER_24的端口

观察仿真结果,在当CLR信号无效,ENA信号有效时,COUNTER_24在CLOCK信号

的作用下,开始计数,当计数到达FENPIN_WORD时就输出一个脉冲,这样就完成了对

CLOCK的分频。仿真结果如下图所示。

COUNTER_24的仿真图

4.2 相位累加模块(PHASE_ADDER)

相位累加模块是实现对查找表地址的输出。它把从相位寄存器输出的相位值与相位控制字相加,从而产生查找表的地址。其外部端口如下图所示:

PHASE_ADDER的外部端口

其仿真结果如下图所示。通过分析,在CLR信号无效的情况下,当CLK来一个上升沿时,PHASE_ADDER就将相位控制值和原来累加的相位进行相加,产生12位的地址。

PHASE_ADDER的仿真图

4.3 相位锁存模块(PHASE_REGISTER)

相位锁存模块主要是对每次累加后的新的相位进行锁存的作用。其外部端口如下图所示.

PHASE_REGISTER的外部端口

由仿真结果下图可知,当CLK来一次上升沿时,相位锁存模块就将输入的12位相位值锁存到相位锁存模块中。

PHASE_REGISTER的仿真图

4.4 频率累加模块(ADDER_12)

频率累加模块主要是实现产生初始累加相位的作用,实质上是一个12位的加法器。它有两个输入端口:频率控制字(PHASE_CONTROL)和经过相位锁存器锁存的12位原始相位。其外部端口如下图所示。

ADDER_12的外部端口

其仿真结果如下图所示。经分析仿真图可以知道,它完全达到预期的设计效果。

ADDER_12的仿真图

4.5 查找表模块(LMP_ROM_SIN)

查找表模块主要是存放一些波形数据,每一个数据都一一对应着一个地址。通过具体的地址就可以得到所需的波形数据。其外部端口如下图所示。

LMP_ROM_SIN 的外部端口

仿真结果如下图所示。通过分析,可以知道,每输入一个地址就会得到一个波形数值。

LMP_ROM_SIN的仿真图

4.6 芯片引脚功能定义

在设计一个系统时,选定器件型号后,I/O引脚及一些特定引脚就确定下来了。设计者要按照

芯片的引脚定义进行原理图及PCB印制板设计,然后在MAX+plus II软件中打开Pin/Locationg/chip对话框,参考设计原理图来对顶层文件分配输入、输出引脚。下图是FPGA芯片在系统中的连接图,根据该图可以看到系统用到了该芯片的43个引脚,其中有26个输入引脚,17个输出引脚。

FPGA在系统原理图中的连接

4.7 各级菜单的设计

本系统采用英文菜单显示,通过菜单选择键来选取相应的子菜单和菜单项,系统菜单的结构如下图所示:

系统菜单结构图

整个操作菜单分为两级结构,通过4×4键盘进行选择,选中后按“确认”键,如果选中的是菜单项,程序就执行该选项;如果选中的是子菜单项,那么就进入子菜单。如果在子菜单中按“取消”键,则返回上一级菜单。主菜单包括两个子菜单和一个菜单项。当执行菜单项“当前状

态”时,就显示当前输出的波形参数。选择“波形输出”子菜单,就进入输出波形设置界面。该菜单共有5个菜单项。“波形选择”设置要输出的信号波形,有正弦、余弦等波形,选中后,按“确认”键即可。“幅值设置”设置输出波形的幅值,输入范围为0~5V。“频率设置”设置输出波形的频率控制字。“相位设置”设置输出波形的相位控制字。“分频系数设置”设置输出波形的分频系数,可以控制FPGA中的时钟信号。在主菜单中选择“通信功能”,即可以实现本系统与微机的串口通信。

五.系统软件设计

整个系统软件设计采用Wave公司的Wave 6000集成开发环境,使用汇编语言进行编程。主程序设计及流程

主程序流程图

本系统的主程序流程图见上图。首先,程序初始化,将系统中的所有命令状态和有关的存储单元置位成初始状态;然后程序进行系统自检,检查FPGA芯片和外围硬件电路是否正常工作;若有错误则给出提示,否则在屏幕上显示主菜单,然后判断是否有键按下,如果有键按下,则进入键盘处理子程序。如没有键按下则显示主菜单,等待有键按下。

5.1 自检子程序

自检就是利用事先编好的检测程序对仪器的主要部件进行自动检测。本系统自检主要是对单片机的RAM和ROM,以及单片机的外围电路如键盘,液晶显示器进行检查。存储器是否正常是通过检验其读写功能是否有效来实现的,通常选用特征字55H(01010101B)或AAH(10101010B)分别对存储器的每一个单元进行先读后写的操作,其自检子程序的流程图如下所示。

自检子程序流程图

5.2 键盘扫描子程序

键盘扫描子程序是对4×4键盘进行扫描并把输入的键值储存到数据存储器中。每一个键就是一个机械开关,当键按下时,开关闭合;当键松开时,开关断开。由于机械开关的撞击作用,开关在闭合和断开时,开关的动作会产生抖动,抖动时间约为5ms~10ms。为了保证按键的准确性,必须在子程序中设计一个消除抖动的软件延时程序。当键盘检测到有键按下时,并不直接进行处

理,而是将键值保存下来,在主程序里进行处理。键盘扫描子程序的流程图如下图所示。

键盘扫描子程序流程图

5.3 串口通信子程序设计

为了实现本系统与微机之间的通信,本设计保留了一个串行接口RS-232。串口通信子程序分为发送和接收程序,大致的流程是相同,下图是串口通信发送程序的流程图。在进行串行通信前

要对串行口进行初始化,设置波特率和串行口的工作模式。工作方式1时波特率的计算公式为: 1×晶振频率

波特系数=

-波特率16

(1)

当波特率为9600,晶振为12 MHz 时,

6

1210177960016

?=-=?波特系数

5.4 电路调试

设计完成后,要对系统进行模拟仿真和试验仿真,由于本系统工作量比较大,在有限的时间里,还不能进行试验仿真,只好利用计算机软件进行模拟仿真。

5.5 DDS电路的模拟仿真

由于MAX+plus II的设计软件中的.tbl文件是纯文本文件,它包含了.scf文件或.wdf文件中的所有信息。.tbl文件的生成很简单,在MAX+plus II波形仿真结束后,打开.scf文件,然后从“File”菜单中选择“Create Table Files”选项,就可以产生.tbl文件。

下面给出了一段用Matlab语言编写的程序,它首先读取“dds.tbl”文件,将输出数据抽取出来并转换为十进制数,然后绘出DDS电路的仿真波形曲线。

用Matlab语言编写的数值抽取和数值转换程序(DDS.M):

clear all;

fid=fopen(‘c:\xiaoxiong\dds.tbl’,’r’);

data=fscanf(fid,’%s’);

fclose(fid);

b=find(data==’=’);

number=length(b);

j=0;

for i=1:number

if data(b(i)-1)==’0’

j=j+1;

c_c(j,1)=data(b(i)+1);

c_c(j,2)=data(b(i)+2);

c_s(j,1)=data(b(i)+3);

c_s(j,2)=data(b(i)+4);

end

end

d_c=hex2dec(c_c);%hex------->dec,d_c是输出余弦波的数据

d_s=hex2dec(c_s);%hex------->dec,d_s是输出正弦波的数据

figure(1);

subplot(2,1,1);

plot(d_c);%DDS输出的余弦波

subplot(2,1,2);

plot(d_s);%DDS输出的正弦波

在Matlab 6.5运行DDS.M得到DDS电路的仿真波形如下图所示。由下图可见模拟仿真达到了预期的要求.

DSS电路的仿真波形

5.6 低通滤波器的设计

低通滤波器是对DAC0832输出的模拟信号进行滤波作用,去除高频干扰信号以及相位噪声和杂散噪声。下图是本设计的截至频率为500Hz的三阶巴特沃斯低通滤波器。该滤波器中的电阻和电容值是通过滤波器设计软件Filter Wiz PRO V3.2算出来的。

低通滤波器的原理图

通过PSpice软件对其进行仿真,可以看到它的频率特性如下图所示。可见该滤波器达到了预期的滤波效果。

低通滤波器的频率特性

六.系统误差分析

系统误差是指在测量过程中产生的大小和方向有一定规律的误差,也称为确定误差。一般来

说系统误差具有一个明显的特点,即在测量条件一定的情况下,系统误差就获得一个客观上恒定值。根据上面这一特点,人们可以依据系统误差产生的原因,采取一定的措施,设法减弱甚至消

除它。

本系统涉及到了模拟电路系统和数字电路系统,采用了单片机和FPGA芯片作为本系统设计的

核心部分,充分发挥了单片机和FPGA芯片的优点。通过系统的模拟仿真,该设计还是存在着一定

的系统误差,下面是对系统误差的分析:

(1)时钟的延时误差。由于单片机和FPGA采用了不同的时钟信号,当单片机向FPGA传送数据时,必定存在着一定的延时,使得单片机传送过来的数据不能马上就存入FPGA中,

当延时过长时,可能使得一些数据丢失。

(2)器件本身的误差。由于所用到的器件不可能是理想中的器件,或多或少会给系统带来一定的误差。如模拟部分各元器件的固有特性所带来的偏差,如电阻的精度以及计算

取舍误差等。数字部分各元器件的信号不同步和相互干扰等。

(3)输出信号的精度误差。由于DAC0832的转换精度不是很高,输出的波形频率范围大,对低通滤波器的设计带来有很大的困难,使得输出信号或多或少参杂了一些高频信号

和高斯噪声,对输出信号的质量有很大的影响。

七.结语

通过本次设计,受益匪浅,充分意识到自己所学的东西还是非常有限的,不过通过设计,还

是学到了一些书本上没有学到的东西,为自己以后的工作奠定了一定的基础。在撰写本文的过程中,深切地体会到当今科技技术飞速的发展,特别是数字技术的发展使得许多技术难题迎刃而解,高速DDS技术和FPGA技术的出现只是飞速发展的数字技术的冰山一角。

参考文献:

[1] 赵建华,朱少君,甘中明.利用单片机和CPLD设计多用信号源[J].西安工业学院学报,2003,

23(3):201~205.

[2] 李志华,张庆顺.高精度可调频调相的正弦信号源[J].电子技术,2003,2:51~53.

[3] 蒋兴才,廖湘平.直接数字频率合成器(DDS)的频谱特性及改善方法[M].北京:电子工业出

版社,1997.

[4] 宋万杰,罗丰吴顺昌.CPLD技术及其应用[M].西安:西电子科技大学出版社,2000.

[5] 王金明,杨吉斌.数字系统设计与VHDL应用技术[M].北京:电子工业出版社,2001.

[6] 程锴,樊锐,李岩冰.基于DDS技术的多路程控信号源[J].电子测量技术,2004,1:9~10.

[7] 褶振勇,翁木云.FPGA的设计与应用[M].北京:西安电子科技大学出版社,2002

[8]李伯成.基于MCS-51单片机的嵌入式系统的设计[M].北京:电子工业出版社,2004.

[9] 李维提,郭强.液晶显示应用技术[M].北京:电子工业出版社,2000.

[10] 陈东.Orcad 电路设计[M].北京:国防工业出版社,2004.

单片机课程设计 简易计算器的设计

目录 摘要 (1) 绪论 (1) 1.设计要求及功能分析 (1) 1.1 设计要求 (1) 1.2 基本功能 (2) 2.设计方案 (2) 2.1 硬件部分设计方案 (2) 2.1.1 单片机部分 (2) 2.1.2 按键部分 (2) 2.1.3 显示部分 (2) 2.2 软件部分设计方案 (2) 3.系统的硬件总体设计 (4) 3.1 系统的总体硬件设计 (4) 3.2 键盘连接电路 (4) 3.3 显示屏连接电路 (5) 3.4 单片机芯片AT89C51 (6) 3.5 外接电路 (7) 4.系统的软件总体设计 (8) 4.1 键盘识别程序设计 (8) 4.2 显示程序 (11) 4.3 运算程序 (11) 5.元器件清单及程序清单 (12) 5.1 元器件清单 (12) 5.2 程序清单 (12) 6.软件仿真 (18) 6.1 仿真验证 (18) 6.2 性能分析 (20) 6.3 出现故障及其原因 (20) 6.4 解决方法 (20) 结论 (20) 参考文献 (21) 致谢 (21) 附录PCB图 (22)

简易计算器的设计 学生:李飞马鹏超舒宏超 指导老师:王孝俭 摘要:单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有和硬盘作用相同的存储器件,不同的是它的这些部件性能都相对我们的家用电脑弱很多,不过价钱也是低的,一般不超过10元即可,用它来做一些控制电器一类不是很复杂的工作足矣了。我们现在用的全自动滚筒洗衣机、排烟罩、VCD等等的家电里面都可以看到它的身影!它主要是作为控制部分的核心部件。它是一种在线式实时控制计算机,在线式就是现场控制,需要的是有较强的抗干扰能力,较低的成本,这也是和离线式计算机的(比如家用PC)的主要区别。单片机比专用处理器最适合应用于嵌入式系统,因此它得到了最多的应用。事实上单片机是世界上数量最多的计算机。现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。而个人电脑中也会有为数不少的单片机在工作。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的综合,甚至比人类的数量还要多。 关键词:单片机、计算器、控制电路、仿真。 绪论 设计要求掌握数码管移位动态扫描显示的编程方法,掌握矩阵扫描的编程方法,掌握数据在内部运算的编程方法。设计任务实现最大8位正整数加、减、乘、除,具备清零、等于功能,16个按键功能依次为:数字0、数字1、数字2、数字3、数字4、数字5、数字6、数字7、数字8、数字9、清零、等于、加、减、乘、除。 1.设计要求及功能分析 1.1设计要求: 本次单片微型计算机与接口技术课程设计做的是利用C51单片机为主体的计算器,实现了简单的加、减、乘、除功能。采取的是键盘输入和液晶显示屏的输出结果显示。主要硬件构成部分由四个,一个AT89C51单片机芯片,一个液晶显示屏,一个4*4键盘和一个排阻(10K)做P0口的上拉电阻,可以实现16位的数值操作计算。 1.2基本功能: 首先,计算器可现实8位数字,开机运行时,只有数码管最低位显示为“0”,其他位全部不显示;

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

单片机课程设计完整版样本

课程设计( 论文) 课程名称单片机 题目名称简易密码锁的设计学院高等技术学院 专业班级高1 1 0 9 学号3869 学生姓名刘欢 指导教师胡立强 11月28 日 目录

一,任务目的 (3) 二,任务要求 (3) 三,电路与元器件 (4) 四,程序设计 (5) 五,程序运行测试 (6) 六,任务小结 (7) 七,心得体会 (8) 八,参考文献 (9) 1.任务目的

经过对具有四个按键输入和一个数码管显示的简易密码锁的设计与制作, 让读者理解C语言中数组的基本概念和应用技术, 并初步了解单片机与键盘和LED数码管的接口电路设计及编程控制方法。 2.任务要求 在一些智能门控管理系统, 需要输入正确的密码才能开锁。基于单片机控制的密码锁硬件电路包括三部分: 按键、数码显示和电控开锁驱动电路, 三者的对应关系如图表3.16所示。 表3.16 简易密码锁状态 简易密码锁的基本功能如下: 4个按键, 分别代表数字0,1,2,3: 密码在程序中事先设定, 为0-3之间的一个数字; 上电复位后, 密码锁初始状态为关闭, 密码管显示符号”—”; 当按下数字键后, 若与事先设定的密码相同, 则数码管显示字符”P”, 打开锁, 3秒后恢复锁定状态, 等待下一次密码的输入, 否则显示字符”E”持续3秒, 保持锁定状态并等待下次输入。 3.电路与元器件 根据任务要求, 用一位LED数码管作为显示器件, 显示密码锁的状态信息, 数码管采用静态连接方式; 4个按键连接到P0口的低四位

P0.0-P0.3引脚, 设P0.0连接数字”0”按键、P0.1连接数字”1”按键, 依次类推; 锁的开、关电路用P3.0控制的一个发光二极管代替, 发光二极管点亮表示锁打开, 熄灭表示锁定。根据以上分析, 采用如图3.21所示的连接电路。 图3.21 简易密码锁电路 简易密码锁电路所需元器件清单如表3.17所示。 元器件名称参数数量元器件名 称 参数数量 插座DIP40 1 电阻103 1 单片机AT89SC51 1 电解电容22UF 1

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

单片机课程设计计算器

课程设计说明书 课程设计名称:单片机课程设计 课程设计题目:四位数加法计算器的设计学院名称:电气信息学院 专业班级: 学生学号:

学生姓名: 学生成绩: 指导教师: 课程设计时间:至

格式说明(打印版格式,手写版不做要求) (1)任务书三项的内容用小四号宋体,倍行距。 (2)目录(黑体,四号,居中,中间空四格),内容自动生成,宋体小四号。 (3)章的标题用四号黑体加粗(居中排)。 (4)章以下的标题用小四号宋体加粗(顶格排)。 (5)正文用小四号宋体,倍行距;段落两端对齐,每个段落首行缩进两个字。 (6)图和表中文字用五号宋体,图名和表名分别置于图的下方和表的上方,用五号宋体(居中排)。(7)页眉中的文字采用五号宋体,居中排。页眉统一为:武汉工程大学本科课程设计。 (8)页码:封面、扉页不占页码;目录采用希腊字母Ⅰ、Ⅱ、Ⅲ…排列,正文采用阿拉伯数字1、2、3…排列;页码位于页脚,居中位置。 (9)标题编号应统一,如:第一章,1,,……;论文中的表、图和公式按章编号,如:表、表……;图、图……;公式()、公式()。

课程设计任务书 一、课程设计的任务和基本要求 (一)设计任务(从“单片机课程设计题目”汇总文档中任选1题,根 据所选课题的具体设计要求来填写此栏) 1. 系统通过4x4的矩阵键盘输入数字及运算符。 2. 可以进行4位十进制数以内的加法运算,如果计算结果超过4位十进制数,则屏幕显示E。 3. 可以进行加法以外的计算(乘、除、减)。 4. 创新部分:使用LCD1602液晶显示屏进行显示,有开机欢迎界面,计算数据与结果分两行显示,支持小数运算。 (二)基本要求 1.有硬件结构图、电路图及文字说明; 2.有程序设计的分析、思路说明; 3.有程序流程框图、程序代码及注释说明; 4.完成系统调试(硬件系统可以借助实验装置实现,也可在Proteus 软件中仿真模拟); 5.有程序运行结果的截屏图片。

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

单片机课程设计报告电子密码锁完整版

单片机课程设计报告电 子密码锁 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

山东交通学院 单片机原理与应用课程设计院(部):轨道交通学院 班级:自动化121 学生姓名: 学号: 指导教师: 时间:— 课程设计任务书 题目电子密码锁设计 系 (部) 轨道交通学院 专业班级自动化121 学生姓名 学号 06 月 01 日至 06 月 12 日共 2 周 指导教师(签字) 系主任(签字) 年月日

目录 3.总体设计 (2)

4 密码比较模块 (6) (6) (8) (9) 附录 (10)

摘要 设计运用了ATMEL公司的AT89S52芯片系统,将微处理器、总线、蜂鸣器、矩阵键盘、存储器和I/O口等硬件集中一块电路板上,通过读取键盘输入的数据(密码)并储存到ATMEL912 24C08存储器中,然后判断之后键盘输入的数据与已存储的数据是否相同来决定打开密码箱或锁键盘或报警。在keil4软件中编程,系统可实现6位密码的处理,并通过控制步进电机控制密码箱门的电子锁,同时还可以修改改密码。利用单片机系统制作的密码箱安全性能更高,更易操作且体积小。 关键词:单片机、密码锁、修改密码 1.设计要求 本实验将实现六位数的电子密码锁。要求使用4X4 行列式键盘作为输入,并用LCD 实时显示。具体要求如下:1. 开机时LCD显示“welcome to use”,初始化密码为“123456”,密码可以更改。 2. 按下“10”,开始则显示“Enter Please:”。3. 随时可以输入数值,并在LCD上实时显示‘*’。当键入数值时,为了保密按从左到右依次显示‘*’,可键入值为0~9。 4. 按下“13”键,则表示确定键按下,进行密码对比。如相符则在LCD第一行显示“Open the door!”,同时指示灯亮起并且步进电机旋转一定的角度;如不符,则LCD第一行显示“Wrong password!”,并且蜂鸣器同时提示一下。如果密码连续三次错误则蜂鸣器连续响5下,并且持续5秒不能进行任何操作 5.在开锁状态下按下“12”键,进入修改密码状态,LCD同时提示“Enter new code!”。为删除按键,出入之后可以进行删除。按键为关闭按键,只有在打开状态下才可以关闭,按下之后LCD显示“Close the door!”。 2.功能概述 此设计分为四个功能模块。 第一模块:按键输入模块,用于密码的输入以及其他的密码操作按键。 第二模块:LCD模块,是与使用者交流的界面,用于显示各种状态下的内容。 第三模块:步进电机模块,用于控制密码锁的打开与关闭。 第四模块:24C08模块,用于储存输入的密码并读出来。 3.总体设计 本次设计作品的主要构成部分包括80C51单片机、LCD1602、24C08、矩阵按键、LED 等、蜂鸣器。如图1总体仿真图,图2实物图。 图1 总体电路图 图2 密码锁实物图 4.硬件设计 矩阵按键设计 如图3所示矩阵按键由P1口控制,了加强密码的保密性,采用一个4×4的矩阵式键盘可以任意设置用户密码(1-16位长度),从而提高了密码的保密性,同时也能减少与单片机接口时所占用的I/O口线的数目,节省了单片机的宝贵资源,在按键比较多的时候,通常采用这种方法。 每一行与每一列的交叉处不相同,而是通过一个按键来连通,利用这种行列式矩阵结构只需要N根行线与M根列线,即可组成具有N × M 个按键的矩阵键盘。 在这种行列式矩阵键盘编码的单片机系统中,键盘处理程序首先执行等待按键并确

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

AT89C51单片机C实现简易计算器

AT89C51单片机简易计算器的设计 一、总体设计 根据功能和指标要求,本系统选用MCS-51系列单片机为主控机。通过扩展必要的外围接口电路,实现对计算器的设计。具体设计如下:(1)由于要设计的是简单的计算器,可以进行四则运算,为了得到较好的显示效果,采用LCD 显示数据和结果。 (2)另外键盘包括数字键(0~9)、符号键(+、-、×、÷)、清除键和等号键,故只需要16 个按键即可,设计中采用集成的计算键盘。 (3)执行过程:开机显示零,等待键入数值,当键入数字,通过LCD显示出来,当键入+、-、*、/运算符,计算器在内部执行数值转换和存储,并等待再次键入数值,当再键入数值后将显示键入的数值,按等号就会在LCD上输出运算结果。 (4)错误提示:当计算器执行过程中有错误时,会在LCD上显示相应的提示,如:当输入的数值或计算得到的结果大于计算器的表示范围时,计算器会在LCD上提示溢出;当除数为0时,计算器会在LCD 上提示错误。 系统模块图:

二、硬件设计 (一)、总体硬件设计 本设计选用AT89C51单片机为主控单元。显示部分:采用LCD 静态显示。按键部分:采用4*4键盘;利用MM74C922为4*4的键盘扫描IC,读取输入的键值。 总体设计效果如下图:

(二)、键盘接口电路 计算器输入数字和其他功能按键要用到很多按键,如果采用独立按键的方式,在这种情况下,编程会很简单,但是会占用大量的I/O 口资源,因此在很多情况下都不采用这种方式,而是采用矩阵键盘的方案。矩阵键盘采用四条I/O 线作为行线,四条I/O 线作为列线组成键盘,在行线和列线的每个交叉点上设置一个按键。这样键盘上按键的个数就为4×4个。这种行列式键盘结构能有效地提高单片机系统中I/O 口的利用率。 矩阵键盘的工作原理: 计算器的键盘布局如图2所示:一般有16个键组成,在单片机中正好可以用一个P口实现16个按键功能,这种形式在单片机系统中也最常用。 图 2 矩阵键盘布局图 矩阵键盘内部电路图如图3所示:

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

单片机课程设计完整版《PWM直流电动机调速控制系统》

单片机原理及应用课程设计报告设计题目: 学院: 专业: 班级: 学号: 学生姓名: 指导教师: 年月日 目录

设计题目:PWM直流电机调速系统 本文设计的PWM直流电机调速系统,主要由51单片机、电源、H桥驱动电路、LED 液晶显示器、霍尔测速电路以及独立按键组成的电子产品。电源采用78系列芯片实现+5V、+15V对电机的调速采用PWM波方式,PWM是脉冲宽度调制,通过51单片机改变占空比实现。通过独立按键实现对电机的启停、调速、转向的人工控制,LED实现对测量数据(速度)的显示。电机转速利用霍尔传感器检测输出方波,通过51单片机对1秒内的方波脉冲个数进行计数,计算出电机的速度,实现了直流电机的反馈控制。 关键词:直流电机调速;定时中断;电动机;波形;LED显示器;51单片机 1 设计要求及主要技术指标: 基于MCS-51系列单片机AT89C52,设计一个单片机控制的直流电动机PWM调速控制装置。 设计要求 (1)在系统中扩展直流电动机控制驱动电路L298,驱动直流测速电动机。 (2)使用定时器产生可控的PWM波,通过按键改变PWM占空比,控制直流电动机的转速。 (3)设计一个4个按键的键盘。 K1:“启动/停止”。 K2:“正转/反转”。 K3:“加速”。 K4:“减速”。 (4)手动控制。在键盘上设置两个按键----直流电动机加速和直流电动机减速键。在

手动状态下,每按一次键,电动机的转速按照约定的速率改变。 (5)*测量并在LED显示器上显示电动机转速(rpm). (6)实现数字PID调速功能。 主要技术指标 (1)参考L298说明书,在系统中扩展直流电动机控制驱动电路。 (2)使用定时器产生可控PWM波,定时时间建议为250us。 (3)编写键盘控制程序,实现转向控制,并通过调整PWM波占空比,实现调速; (4)参考Protuse仿真效果图:图(1) 图(1) 2 设计过程 本文设计的直流PWM调速系统采用的是调压调速。系统主电路采用大功率GTR为开关器件、H桥单极式电路为功率放大电路的结构。PWM调制部分是在单片机开发平台之上,运用汇编语言编程控制。由定时器来产生宽度可调的矩形波。通过调节波形的宽度来控制H电路中的GTR通断时间,以达到调节电机速度的目的。增加了系统的灵活性和精确性,使整个PWM脉冲的产生过程得到了大大的简化。 本设计以控制驱动电路L298为核心,L298是SGS公司的产品,内部包含4通道逻辑驱动电路。是一种二相和四相电机的专用驱动器,即内含二个H桥的高电压大电流双全桥式驱动器,接收标准TTL逻辑电平信号,可驱动46V、2A以下的电机。可驱动2个电机,OUTl、OUT2和OUT3、OUT4之间分别接2个电动机。5、7、10、12脚接输入控制电平,控制电机的正反转,ENA,ENB接控制使能端,控制电机的停转。 本设计以AT89C52单片机为核心,如下图(2),AT89C52是一个低电压,高性能 8位,片内含8k bytes的可反复擦写的只读程序存储器和256 bytes的随机存取数据存储器(),器件采用的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,AT89C52单片机在电子行业中有着广泛的应用。 图(2) 对直流电机转速的控制即可采用开环控制,也可采用闭环控制。与开环控制相比,速度控制闭环系统的机械特性有以下优越性:闭环系统的机械特性与开环系统机械特性相比,其性能大大提高;理想空载转速相同时,闭环系统的静差(额定负载时电机转速降落与理想空载转速之比)要小得多;当要求的静差率相同时, 闭环调速系统的调速范

信号发生器设计书

题目名称:信号发生器(一)姓名:姚添珣 班级:电气N112班 学号:201145679204 日期:2013/7/4

模拟电子技术课程设计任务书 适用专业:电气工程及自动化专业 设计周期:一周 一、设计题目:信号发生器(一) 二、设计目的 1、研究正弦波等振荡电路的振荡条件。 2、学习波形产生、变换电路的应用及设计方法以及主要技术指标的测试方法。 三、设计要求及主要技术指标 设计要求:设计并仿真能产生方波、三角波及正弦波等多种波形信号输出的波形发生器。 1、方案论证,确定总体电路原理方框图。 2、单元电路设计,元器件选择。 3、仿真调试及测量结果。 主要技术指标 1、正弦波信号源:信号频率范围20Hz~20kHz 连续可调;频率稳定度较高。信号幅度可以在一定范围内连续可调; 2、各种输出波形幅值均连续可调,方波占空比可调; 3、设计完成后可以利用示波器测量出其输出频率的上限和下限,还可以进一步测出其输出电压的范围。 四、仿真需要的主要电子元器件 1、运算放大电路 2、滑线变阻器 3、电阻器、电容器等 五、设计报告总结(要求自己独立完成,不允许抄袭)。 1、对所测结果(如:输出频率的上限和下限,输出电压的范围等)进行全面分析,总结振荡电路的振荡条件、波形稳定等的条件。 2、分析讨论仿真测试中出现的故障及其排除方法。 3、给出完整的电路仿真图。 4、体会与收获。

第1章方案论证与比较 1.1 方案提出 方案一: 首先由RC桥式正弦波振荡器产生正弦波信号,然后用迟滞比较器将正弦波信号转换为方波信号,最后经过积分器将方波信号转换为三角波信号。 正弦波方波三角波 方案二: 首先,(比较器和积分器组成方波-三角波产生电路)把迟滞比较器和积分器首尾相接形成正反馈闭环系统,则比较器输出的方波经积分器积分可得到三角波,三角波又触发比较器自动翻转形成方波,最后通过差分放大器将三角波信号转换为正弦波信号。 方波三角波正弦波 方案三:

单片机课程设计——基于C51简易计算器

单片机双字节十六进制减法实验设计 摘要 本设计是基于51系列的单片机进行的双字节十六进制减法设计,可以完成计 算器的键盘输入,进行加、减、3位无符号数字的简单运算,并在LED上相应的显示结果。 设计过程在硬件与软件方面进行同步设计。硬件方面从功能考虑,首先选择内部存储资源丰富的AT89C51单片机,输入采用5个键盘。显示采用3位7段共阴极LED动态显示。软件方面从分析计算器功能、流程图设计,再到程序的编写进行系统设计。编程语言方面从程序总体设计以及高效性和功能性对C语言和汇编语言进行比较分析,针对计算器四则运算算法特别是乘法和除法运算的实现,最终选用KEIL公司的μVision3软件,采用汇编语言进行编程,并用proteus 仿真。 引言 十六进制减法计算器的原理与设计是单片机课程设计课题中的一个。在完成理论学习和必要的实验后,我们掌握了单片机的基本原理以及编程和各种基本功能的应用,但对单片机的硬件实际应用设计和单片机完整的用户程序设计还不清楚,实际动手能力不够,因此对该课程进行一次课程设计是有必要的。 单片机课程设计既要让学生巩固课本学到的理论,还要让学生学习单片机硬件电路设计和用户程序设计,使所学的知识更深一层的理解,十进制加法计算器原理与硬软件的课程设计主要是通过学生独立设计方案并自己动手用计算机电路设计软件,编写和调试,最后仿真用户程序,来加深对单片机的认识,充分发挥学生的个人创新能力,并提高学生对单片机的兴趣,同时学习查阅资料、参考资料的方法。 关键词:单片机、计算器、AT89C51芯片、汇编语言、数码管、加减

目录 摘要 (01) 引言 (01) 一、设计任务和要求............................. 1、1 设计要求 1、2 性能指标 1、3 设计方案的确定 二、单片机简要原理............................. 2、1 AT89C51的介绍 2、2 单片机最小系统 2、3 七段共阴极数码管 三、硬件设计................................... 3、1 键盘电路的设计 3、2 显示电路的设计 四、软件设计................................... 4、1 系统设计 4、2 显示电路的设计 五、调试与仿真................................. 5、1 Keil C51单片机软件开发系统 5、2 proteus的操作 六、心得体会.................................... 参考文献......................................... 附录1 系统硬件电路图............................ 附录2 程序清单.................................. 一、设计任务和要求

单片机课程设计——基于C51简易计算器

单片机十进制加法计算器设计 摘要 本设计是基于51系列的单片机进行的十进制计算器系统设计,可以完成计 算器的键盘输入,进行加、减、乘、除3位无符号数字的简单四则运算,并在LED上相应的显示结果。 设计过程在硬件与软件方面进行同步设计。硬件方面从功能考虑,首先选择内部存储资源丰富的AT89C51单片机,输入采用4×4矩阵键盘。显示采用3位7段共阴极LED动态显示。软件方面从分析计算器功能、流程图设计,再到程序的编写进行系统设计。编程语言方面从程序总体设计以及高效性和功能性对C 语言和汇编语言进行比较分析,针对计算器四则运算算法特别是乘法和除法运算的实现,最终选用全球编译效率最高的KEIL公司的μVision3软件,采用汇编语言进行编程,并用proteus仿真。 引言 十进制加法计算器的原理与设计是单片机课程设计课题中的一个。在完成理论学习和必要的实验后,我们掌握了单片机的基本原理以及编程和各种基本功能的应用,但对单片机的硬件实际应用设计和单片机完整的用户程序设计还不清楚,实际动手能力不够,因此对该课程进行一次课程设计是有必要的。 单片机课程设计既要让学生巩固课本学到的理论,还要让学生学习单片机硬件电路设计和用户程序设计,使所学的知识更深一层的理解,十进制加法计算器原理与硬软件的课程设计主要是通过学生独立设计方案并自己动手用计算机电路设计软件,编写和调试,最后仿真用户程序,来加深对单片机的认识,充分发挥学生的个人创新能力,并提高学生对单片机的兴趣,同时学习查阅资料、参考资料的方法。 关键词:单片机、计算器、AT89C51芯片、汇编语言、数码管、加减乘除

目录 摘要 (01) 引言 (01) 一、设计任务和要求............................. 1、1 设计要求 1、2 性能指标 1、3 设计方案的确定 二、单片机简要原理............................. 2、1 AT89C51的介绍 2、2 单片机最小系统 2、3 七段共阳极数码管 三、硬件设计................................... 3、1 键盘电路的设计 3、2 显示电路的设计 四、软件设计................................... 4、1 系统设计 4、2 显示电路的设计 五、调试与仿真................................. 5、1 Keil C51单片机软件开发系统 5、2 proteus的操作 六、心得体会.................................... 参考文献......................................... 附录1 系统硬件电路图............................ 附录2 程序清单..................................

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

单片机课程设计电阻测量(完整版)

课程设计报告课程名称:单片机课程设计 设计题目:电阻测量 院系:通信与控制工程系 专业:通信工程 班级: 学生姓名: 学号: 08409212 起止日期: 指导教师: 教研室主任:

摘要 本设计电阻测量是利用A/D转换原理,将被测模拟量转换成数字量,并用数字方式显示测量结果的电子测量仪表。通常测量电阻都采用大规模的A/D转换集成电路,测量精度高,读数方便,在体积、重量、耗电、稳定性及可靠性等方面性能指标均明显优于指针式万用表。其中,A/D转换器将输入的模拟量转换成数字量,逻辑控制电路产生控制信号,按规定的时序将A/D转换器中各组模拟开关接通或断开,保证A/D 转换正常进行。A/D转换结果通过计数译码电路变换成BCD码,最后驱动显示器显示相应的数值。本系统以单片机AT89C52为系统的控制核心,结合A/D转换芯片ADC0809设计一个电阻测量表,能够测量一定数值之间的电阻值,通过四位数码显示。具有读数据准确,测量方便的特点。 关键词:单片机(AT89C52);电压;A/D转换;ADC0809

目录 设计要求 (1) 1、方案论证与对比 (1) 1.1方案一 (1) 1.2方案二 (1) 1.3方案对比与比较................................... 错误!未定义书签。 2、系统硬件电路的设计 (2) 2.1振荡电路模块 (2) 2.2A/D转换电路模块 (3) 2.2.1主要性能 (3) 2.2.2 ADC0809芯片的组成原理 (4) 2.2.3 ADC0809引脚功能 (4) 2.3主控芯片AT89C52模块 (5) 2.3.1主要功能特性 (6) 2.3.2 主要引脚功能 (6) 2.4显示控制电路的设计及原理 (8) 3、程序设计 (9) 3.1初始化程序 (9) 3.2主程序 (10) 3.3显示子程序 (10) 3.4A/D转换测量子程序 (11) 4、调试及性能分析 (11) 4.1调试与测试 (11) 4.2性能分析 (12) 5、元件清单 (13) 6、总结与思考及致谢............................... 错误!未定义书签。参考文献. (13)

信号发生器设计(附仿真)

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器 A 1 输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

相关文档
相关文档 最新文档