文档视界 最新最全的文档下载
当前位置:文档视界 › 数字钟应用课程设计说明书

数字钟应用课程设计说明书

数字钟应用课程设计说明书
数字钟应用课程设计说明书

数字钟应用课程设计

说明书

1、数字钟原理设计

1.1芯片介绍

本科设设计的数字钟主要应用到74ls90芯片的计数功能,通过辅助电路完成六十进制和十二进制计数,从而实现数字钟的功能。74ls90是包含一个二分频和五分频的计数器,其逻辑功能键表1。

表1 74ls90逻辑功能

输入输出

R0(1) R0(2) R9(1) R9(2) CP Q3 Q2 Q1 Q0

1 1 0 X X

1 1 X 0 X

X X 1 1 X

X 0 X 0 ↓ 0 X 0 X ↓ 0 X X 0 ↓ X 0 0 X ↓0 0 0 0

0 0 0 0

1 0 0 1

计数

计数

计数

计数

用74ls90还能实现十进制计数,把Q0接到五进制CP端即可,如图1。从CP0端输入脉冲信号输出即为8421码十进制计数。

图1 用74ls90实现十进制计数

1.2单元电路原理

1.2.1脉冲信号的产生

这里用到的是用555定时器设计的多谐振荡器,多谐振荡器的优点是在接通

电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。而用555定时器设计的多谐振荡器也有很多优点,由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。

接通电源后,电容C2被充电,当V C上升到2/3V CC时,使输出电压为低电平,同事放电三极管T导通,此时电容C2通过R B和T放电,V C下降。当V C下降到2/3V CC 时,V0翻转为高电平。当放电结束后,T管截止,V CC将通过R A 和R B 向电容器C2充电,当V C上升到2/3V CC时,电路又翻转为低电平。如此周而复始,于是,在电

路的输出端就得到一个周期性的矩形波。

图2 脉冲信号产生电路

脉冲周期T=(R1+R2)C㏑2,取R1=R2=721k,C1=1μf,C2=0.01μf。带入数据计算得T=1s。输出波形占空比=R1/(R1+R2)=1/2。输出波形如图3。

图3 输出脉冲信号

1.2.2用辅助电路实现六十,十二进制计数器

用输出端控制R0(1)和R0(2)端可完成六十和十二进制。六十进制计数器原理图如图4。高位的CP信号来自低位的最高位,即低位完成一次十进制计数产生一个脉冲信号,使得高位开始计数。高位Q1Q2位与与门相连,输出接到清零端上,从而当高位输出Q3Q2Q1Q0为0110,即十进制数6时高位清零。达到六十进制计数的目的。

图4 六十进制计数器

同理可得出十二进制计数的连线方式,如图5所示。

图5 十二进制计数器

1.2.3显示电路

显示电路由七段译码器74ls48和七段LED数码管组成,将74ls90的输出端接译码器的输入端,再将译码器的输出端接到数码管的输入端上即可。如图6所示。

图6 显示电路

2、Protel原理图绘制

2.1准备画图

1.启动Protel 99E

(1)从Windows操作系统的开始菜单或桌面快捷图标进入Protel 99E环境。。(2)使用菜单File/New建立新工程文件。在DatabaseFileName栏中可对填入protel课设.ddb

(3)使用菜单File/New,在弹出的窗口里选择SchematicDocument选项,开始设计原理图。

(4)一个名为Sheet1.SchDoc的原理图图纸出现在设计窗口中,并且原理图文

件夹也自动地添加(连接)到项目。这个原理图图纸现在列表在Projects标签中的紧挨着项目名下的Schematic Sheets文件夹下。

同样方法重命名为protel课设.。

(5)设置画图环境

使用菜单Design/Document Option,在如图7所示的窗口中设置图纸尺寸、栅格等内容。

图7 Document Option对话框

原理图参数设置:

1、从菜单选择Tools ?Preferences打开原理图参数对话框。这个对话框允许

你设置全部参数,这些将应用到你将继续工作的所有原理图图纸。Preferences 对话框如图8所示。

2、点击Default Primitives标签以使其为当前,勾选Permanent。点击OK按

钮关闭对话框。

3、在你开始绘制原理图之前,保存这个原理图图纸,因此选择File ? Save。

图 8 Preferances对话框

2.2定位元件和加载元件库

1、点击Libraries标签显示库工作区面板。

2、在库面板中按下Search按钮,或选择Tools? Find Component。这将打开查找库对话框。Find Component如图9所示。

3、确认Scope被设置为Libraries on Path,并且Path区含有指向你的库的正确路径。如果你接受安装过程中的默认目录,路径中会显示C:\Program

。确认Include Subdirectories未被选择(未被勾选)。

4、如本数字钟中的寻找74ls90芯片只需在Search Criteria单元的Name文本

框内键入74ls90即可。

图9 元件查找对话框

5、点击Search按钮开始查找。当查找进行时Results标签将显示。如果你输入的规则正确,一个库将被找到并显示在查找库对话框。

6、点击Miscellaneous Devices.IntLib库以选择它。

7、点击Install Library按钮使这个库在你的原理图中可用。

8、关闭Search Libraries对话框。

添加的库将显示在库面板的顶总。如果你点击上面列表中的库名,库中的元件会在下面列表。面板中的元件过滤器可以用来在一个库内快速定位一个元件。同样方法找出所需元件。

2.3原理图放置元件

1、电容、电阻等常用元件也在Miscellaneous Devices.IntLib库里,该应该已经在Libraries面板中被选择。

2、在Libraries面板的元件过滤器栏键入cap或res。

3、在元件列表中点击元件选择它,然后点击Place按钮。现在在你的光标上悬

浮着一个电容符号。

4、按TAB键编辑电容的属性。在Component Properties对话框的Properties 单元,设置Designator,检查PCB封装模型。

5、规则栏的设置将显示在原理图中。点击规则列表中的Add显示Parameter Properties对话框。输入名称Value以及值20n。确认String作为规则类型被选择,并且value的Visible框被勾选。点击OK。

6、在对话框的Properties单元,点击Comment栏并从下拉列表中选择=Value,将Visible关闭。点击OK按钮返回放置模式。右击或按ESC退出放置模式。

7、在放置元件时要做到美观整洁,尽量使元件朝一个方向放置,并把连接关系

紧密的元件放在靠近的地方。

2.4连接电路

连线起着在你的电路中的各种元件之间建立连接的作用。

1、从菜单选择Place ?Wire或从Wiring Tools工具栏点击Wire工具进入连线模式。光标将变为十字形状。当将光标移至元件接口或导线上时,光标中将出现一黑点,单击右键后导线就练到接口或导线上了。

2、将光标放在元件一端。当你放对位置时,一个红色的连接标记会出现在光标

处。这表示光标在元件的一个电气连接点上。

3、左击或按ENTER固定第一个导线点。移动光标你会看见一根导线从光标处延

伸到固定点。

4、将光标称到Q1的基极上,你会看见光标变为一个红色连接标记。左击或按ENTER连接到Q1的基极。

5、完成这部分导线的放置。注意光标仍然为十字形状,表示你准备放置其它导

线。要完全退出放置模式恢复箭头光标,你应该再一次右击或按ESC。电路总原理图见附录 1

2.5 电路ERC电气规则检查

画好电路图后需对电路进行电气规则检查,点击Tools/ERC菜单,软件即生成ERC文件,文件中对电路存在的电气问题进行描述,并且会在电路图中用红圈标出部分电气问题的具体位置。电气规则主要指元件引脚是否连接到电路中等。

只有解决了所有ERC文件中标出的问题,才可开始创建PCB文件和电路的仿真。

2.6生成网络表

选择菜单Design/Creat Nestlist命令,如图11所示。随后在project

栏会多出一项Generated Protel Netlist,展开后有一个“*.NET”,这就是生成的网络表。网络表中主要内容分为两部分,一部分是各元件的属性参数,以方括号作为开头和结尾;一部分是各元件引脚的电气连接信息,以圆括号作为开头和结尾。网络表是原理图与印制电路板之间的一座桥梁,是印制电路板自动布线的依据。网络表提供了电路的元件清单以及元件之间的互联关系。

2.7生成材料清单

在原理图界面下执行菜单命令Reports\Bill of Material现新的对话框选择[sheet]点击下一步,其他默认直到倒数第二步将Protel Format、CSV Format、Client Spreadsheet全部选中点击下一步和Finish就生成了材料清单。材料清

单包括电路图中所有元件的名称,类型和封装号和元件的备注,材料清单见附录2。

3、PCB板制作

3.1创键PCB文件

同创建sch电路图文件一样,双击进入document,执行菜单命令/NEW,双击即可创建PCB文件。在生成PCB文件之前应保证原理图没有错误,文件生成后将所需要的库文件导入到PCB,否则即使原理图无错误系统也会报错。

3.2将电路导入PCB文件

1、选择菜单Design/Update PCB命令,将弹出一个UpdateDesign菜单,此菜单可对PCB生成选项进行修改,如图10所示,点击PreviewChange按钮,可以检查原理图中存在的封装等问题和可能存在的隐患。如果没有问题点击Exeuct按钮,软件将自动根据电路原理图创建PCB文件,即将原理图中的所有原件和连接关系对应到PCB中,如图10所示。

图10 PCB创建选项菜单

2、在PCB状态下执行菜单命令【Design】/【Netlist……】,在出现的对话框中点击标签然后选择之前创建好的后缀名为.net的网络表。若元

件封装、原理图均无错误后即可在PCB版上生成元器件。在网络表文件载入时,常常会出现两种错误:Footprint Not Available(封装元件遗漏)、Node Not Found(引脚遗漏)。在加载的时候,应该注意改正错误,得到正确的网络表。

图11自动生成的PCB图

3.3元件的布局

元件的重新布局是为了在布线时元件之间的导线连接简洁,减少导线的交

叉,并充分利用PCB板的空间。做到紧凑而不拥挤,美观,而又容易观察元器件

之间的连接关系。在移动元件时还应注意所有的元件名称最好朝一个方向,这样生产出来的电路板方便阅读。移动元件时容易造成图像部分区域无法生成,这时按END键可以刷新图像。移动元器件时最好参考原理图,了解各元件之间的连接,将连线较多的元件放在更近的地方。布局好元件后开始描绘电气边界,边界决定了印刷电路板的尺寸,只能在KeepOutLayer①层描绘边框,在其他层画边框会

使造成无法布线。

基本工作层面说明:

信号层(Signal Layers):用来放置元件、导线等与电气信号有关的电气元素。对于制作双面板而言,要选中顶层铜膜布线面(Top Layers)和底层铜膜布线面(Bottom Layers)。

丝印层(Silkscreen):用于绘制元件的外形轮廓,元件序号和标注字符等。一般选中顶层(Top overlay)即可。

防护层(Mask):自动生成,不选。

禁止布线层(Keep Out Layer):用于规定放置元件和布线的区域。

多层面(Multi layer):用于快速把对象(例如,焊盘和过孔)加入到所有

的信号层,选中即可。其它的选项使用默认设置即可。调整好后的PCB图如图12。

图12 元件重新布局后的PCB图

3.4元件自动布线

执行Auto Route/All后开始自动布线。布线后见图16。Protel也可以手动对PCB图进行手动布线,但由于水平有限而且电路较为复杂采用自动布线。在使用Protel进行电路设计的高级阶段,PCB图的布局和布线都是手动完成的。自动布线后PCB效果图如图13所示。

图13自动布线效果图

4、Protel电路仿真

4.1绘制原理图

仿真元件必须在sim.ddb库中寻找,仿真时应该重新画原理图,仿真原理图

的画法与电路原理图画法类似。仿真过程中同样要进行ERC电气规则检查后才能开始。若有错误需改正后再开始后面的步骤。仿真元件必须在sim.ddb库中寻找,仿真时应该重新画原理图,仿真原理图的画法与电路原理图画法类似,这里不再赘述。由于本电路较为复杂,且数字电路无相对重要的输出端,本课设仅进行部分仿真。旨在验证555多谐振荡器的波形是否正确,并且验证六十进制计数器、

十二进制计数器是否到达预期的计数目的。555多谐振荡器仿真电路如图14所示,六十进制计数器如图15所示,十二进制计数器如图16所示。

图14 555多谐振荡器仿真电路图15 六十进制计数器仿真电路

图16 十二进制计数器仿真电路

由于后面观察到多谐振荡电路仿真波形有失真,所以计数器仿真电路用

Protel提供的方波发生器代替,其脉冲周期均为1s。后面所有仿真的都是原理图中标号输出的波形。

4.2放置网络标号

利用Place/NetLabel或相应工具条(结合Tab键),放在需要指明节点的附近,指示信号的测试点或信号输入输出点。放置网络标号可以让想了解点的波

形很容易找的,因为仿真产生波形会把所有网络标号点波形显示出来,方便查看。

4.3 设置仿真参数

执行菜单命令Simulation/Setup...,弹出如图17的对话框。

图17 仿真分析对话框

在此对话框General标签中的Select Analyses to Run栏下,选择仿真分析的方法。本电路只选择Transient/Fourier Analysis(瞬态分析/傅里叶分析)。在Transient/Fourier Analysis标签下可以设置瞬态分析的参数。由于

默认参数较小,而本电路时间间隔都是秒级的,所以需重新设置。设置的内容有StopTime和StepTime,分别设为1000s和10us,这样可以确保观察到计数器是

否正常计数。

4.4 运行仿真电路

仿真器在仿真时需要用到SPICE网络表。执行菜单命令Simulate/Create SPICE Netlist生成SPICE网络表文件*.nsx。设置好电路原理图和仿真分析的参数后,执行菜单命令Simulated/Run就可以运行电路仿真了。仿真结果文档*.sdf将存储在本电路的设计数据库文件中,并在一个新的窗口(仿真波形分析

器窗口)中显示。555电路仿真波形,六十进制计数器仿真波形,十二进制计数器仿真波形分别如图。

图18 555多谢振荡器电路仿真波形

从图中可以看出电路存在失真的现象,波形的的上跳和下跳的并不是瞬时的,这与555定时器的参数有关。

图19 六十进制计数器电路仿真波形

从图中可以清晰的看到计数器的输出周期是60s,实验证了该电路的功能。当图15中U1的输出QA QB QC QD 的输出为0110时,输出=QA·QB为高电平,但由于输出端又与清零端相连,且清零端高电平有效,高电平马上被清零,从而造成波形图中输出脉冲时间非常短的现象。

图20 十二进制计数器电路仿真波形

在此图中同样可以看到输出波形的周期为12s,验证了改电路的功能。其波形产生原理与六十进制计数器相同。

对上面的波形分析可得当用六十进制计数器的输出作为另外一个六十进制

计数器的CP端输入时,可实现小时计数。再将后一计数器输出端作为十二进制

计数器的CP输入端,就可以实现十二小时计数了。这就是本数字钟所应用的原

理所在。

5、心得体会

这次Protel课设历时两个多星期,在完成课设的过程中我学到了很多东西。本次课程设计与以往不同,更强调对EDA的实用,使我对电子设计自动化有了初步的认识。EDA是电子设计自动化(Electronic Design Automation)的缩写,EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述

语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、

综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻

辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率

和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以

将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。Protel就是EDA技术的一个典型例子,使用它可以制作印刷电路板和对电路进行仿真。

在课程设计的过程中我遇到了许多挫折,如软件使用中的很多问题,但我认为挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆。

在制作课设的这两个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,通过这次课程设计使我懂得了理论与实际相结合是很重要的,

只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的

能力。在设计的过程中遇到问题,可以说得是困难重重,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,如对protel软件的应用还不够熟悉,以及其中很多功能应用还未有深刻理解,要通过不断的实用和查找资料来完善自己此方面的能力。

此设计由于我们的学业不精和时间等客观问题,未能使设计达到完善,还有很多缺点和错误,如多谐振荡器仿真失真等。希望老师能提出改进意见,谢谢老师对我们的辛勤栽培。

6、参考文献

1.张瑾、张伟、张立宝编著,Protel99SE入门与提高,人民邮电出版社,2007

2.谭孝辉编著,Protel电路设计与仿真,电子科技大学出版社,2009

3.康华光主编,电子技术基础(数电部分),高等教育出版社,2006

4.伍时和主编,数字电子基础,清华大学出版社,2009

5.阎石主编,数字电子基础,高等教育出版社,2006

附录

电子技术课程设计多功能数字时钟范文

电子技术课程设计多功能数字时钟

电子技术课程设计 数字钟的设计 一、设计任务与要求 1.能直接显示“时”、“分”、“秒”十进制数字的石英数字 钟。 2.能够24小时制或12小时制。 3.具有校时功能。能够对小时和分单独校时,对分校时的时候, 停止分向小时进位。校时时钟源能够手动输入或借用电路中的时钟。 4.整点能自动报时,要求报时声响四低一高,最后一响为整点。 5.走时精度高于普通机械时钟(误差不超过1s/d)。 二、方案设计与认证 1、课题分析 数字时钟一般由6个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以“时”、“分”、“秒”的十进制数字显示出来。“时”显示由二十四进制计数器、译码器和显示器构成,“分”、“秒”显示分别由六十进制计数器、译码器构成。其原理框图如图1所示。

2、方案认证 (1)振荡器 振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。数字钟的精度,主要取决于时间标准信号的频率及稳定度。振荡器的频率越高,计时的精度就越高,但耗电量将增大。一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。 (2)分频器 振荡器产生的时基信号一般频率都很高,要使它变成能用来计时的“秒”信号,需由分频器来完成。分频器的级数和每级的分频次数要根据时基频率来定。例如,当前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的

“秒”信号。也可选用其它频率的时基信号,确定好分频次数后再选择合适的集成电路。 (3)计数器 数字钟的“秒”、“分”信号产生电路都由六十进制计数器构成,“时”信号产生电路由二十四进制计数器构成。“秒”和“分”计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们一般计数习惯。“时”计数也能够用两块十进制计数器实现,只是做成二十四进制。上述计数器均可用反馈清零法来实现。 (4)译码显示电路 因本设计选用的计数器全部采用二-十进制集成块,因而计数器的译码显示均采用BCD-七段显示译码器,显示器采用共阴极或共阳极的七段显示数码管。 (5)校时电路 在刚开机接通电源或计时出现误差时,都需要对时间进行校正。校“时”电路的基本原理是将周期为0.5s的脉冲信号直接引进“时”计数器,同时将“分”计数器置零,让“时”计数器快速计数,在“时”的指示达到需要的数字后,切断0.5s的脉冲信号。 (6)整点报时电路 数字钟整点报时是最基本的功能之一。此电路要求每当“分”和

数字电子钟设计说明

华南农业大学 电子线路综合设计 数字电子钟 班级:14电气类8班组别:4 指导教师: 2016年月

电子数字钟是一种用数字电路技术实现时、分、秒计时的装置,比机械式时钟具有更高的精确性。本次课程设计的电子数字钟,具有以下功能:用24进制,从00开始到23后再回到00,各用2位数码管显示时、分、秒(如23:52:45);可实现手动或自动的对时、分进行校正;计时过程具有报时功能,当时间到达整点前10秒进行报时,蜂鸣器响1秒停1秒地响5次。整个电路设计主要包括秒信号产生电路、时分秒计数电路、译码显示电路、时分的校正电路以及整点报时电路。 秒信号产生电路由石英晶体振荡器和分频器实现,将此信号接到秒计数器的信号输入端,在秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示在数码管。整点报时电路由计时电路的输出状态产生脉冲信号送至蜂鸣器实现报时。校时电路加上一个脉冲送到时分计时器电路从而实现时和分的校整。 为了更好的完成本次课程设计,我们对题目进行了分析讨论,参考了很多相关的资料,同时考虑到实验室能提供的设备仪器及元件,确定了初步的设计方案;经过多次软件仿真,确定并完善了最终的设计方案。根据设计方案进行焊接、电子仪表检查、调试并测量电路的工作状态,排除电路故障,调整元件参数,改进电路性能,使之达到设计的指标和要求,做出成品。 关键词:晶体振荡器CD4060 CD4511 74LS90

1系统概述 (1) 1.1 设计任务和目的 (1) 1.2系统设计思路与总体方案 (1) 1.3设计方案选择 (1) 1.4总体工作过程 (2) 1.5各功能模块的划分和组成 (2) 2电路系统设计与分析 (4) 2.1秒信号的发生电路 (4) 2.2时、分、秒计数电路 (5) 2.3译码显示电路 (6) 2.4时、分校正电路 (7) 2.5整点报时电路 (8) 3电路的安装与调试 (9) 3.1安装调试的步骤 (9) 3.2电路软件仿真调式 (9) 3.3电路焊接及实物调式 (10) 3.4实验过程可能存在的问题 (10) 4实验数据和误差分析 (11) 5实验结论及分析 (11) 6实验收获、体会和建议 (12) 参考文献 (13) 附录1元器件清单明细表 (14) 附录2总原理接线图 (15) 附录3 电路焊接实物图 (16) 致 (17)

数字钟课程设计

摘要 本次课程设计的主题是数字电子钟。干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发蜂鸣器实现报时。 数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED显示的时间进行调节,以上两部分组成主体电路。通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路。本次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显示,时、分校时,整点报时等功能。 关键字:数字时钟,振荡器,计数器,报时电路 目录 1 绪论错误!未定义书签。 课题描述错误!未定义书签。 设计任务与要求错误!未定义书签。 基本工作原理及框图错误!未定义书签。 2 相关元器件及各部分电路设计错误!未定义书签。 相关主要元器件清单错误!未定义书签。 六十进制“秒”计数器设计错误!未定义书签。 六十进制“分”计数器设计错误!未定义书签。 二十四进制计数器设计错误!未定义书签。 秒脉冲电路设计错误!未定义书签。 整点报时电路设计错误!未定义书签。 3 总体电路图错误!未定义书签。

数字钟电路pcb设计

¥ 摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片 — 【

目录 前言 (1) 第一章@ 第二章绪论 (2) 数字钟的研究背景和意义 (2) 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 电路组成方框图 (3) 电路原理图制作 (3) 原理图环境设置 (4) 绘制原理图 (5) $ 电气规则检查及网络表输出 (7) 原理图分析 (10) 晶体振荡器 (10) 分频器 (11) 计数器电路 (12) 显示和译码电路 (12) 电源电路 (13) 第三章电路板PCB设计 (14) , PCB设计规范 (14) PCB设计流程 (17) 输出光绘文件 (21) PCB制件作 (23)

心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28) "

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

数字电子技术课程设计,数字钟的设计

武汉理工大学《数字电子技术》课程设计说明书 目录 1绪论-----------------------------------------------------------------------------------------1 2设计方案概述-------------------------------------------------------------------------2 2.1系统设计思路与总体方案---------------------------------------------------------------2 2.2总体工作过程------------------------------------------------------------------------------2 2.3各功能块的划分和组成------------------------------------------------------------------3 3单元电路设计与分析--------------------------------------------------------------3 3.1秒信号的发生电路------------------------------------------------------------------------3 3.2时、分、秒计数电路---------------------------------------------------------------------4 3.2.1秒部分-----------------------------------------------------------------------------------5 3.2.2分部分-----------------------------------------------------------------------------------5 3.2.3时部分-----------------------------------------------------------------------------------6 3.3校正时、分电路---------------------------------------------------------------------------7 3.3.1校分电路--------------------------------------------------------------------------------7 3.3.2校时电路--------------------------------------------------------------------------------8 3.4整点报时电路------------------------------------------------------------------------------8 3.5闹钟功能电路------------------------------------------------------------------------------9 5电路的调试与仿真-----------------------------------------------------------------9 4总体电路原理图---------------------------------------------------------------------11 6元器件清单-----------------------------------------------------------------------------12 7设计体会及心得---------------------------------------------------------------------12 参考文献------------------------------------------------------------------------------------14

数字钟的电路设计

题目_________数字钟的设计___________ 班级_______机设12(4)班____________ 学号___________201210310422_________ 姓名___________卞旺武_______________ 指导____________鲁老师______________ 时间__________2014.6.16--2014.6.19____ 景德镇陶瓷学院

电工电子技术课程设计任务书

目录 1、数字钟的总体方案与原理说明. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1 2、555定时器构成的多谐振荡器电路图. . . . . . . . . . . . . . . . . . .a 3、秒、时计数器电路图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .b 4、译码器芯片与逻辑符号图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .c 5、秒、分、时校时电路原理图. . . . . . . . . . . . . . . . . . . . . . . . . . .d 6、总体电路原理相关说明. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .e 7、总体电路原理图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .f 8、元件清单;. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .g 9、参考文献. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .h 10、设计心得体会. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . i

12小时数字钟电路设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:12小时数字钟电路设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:34010104 学号:2013040101164 姓名: 指导教师:胡光元 完成日期:2016 年 1月 13 日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1算法与程序的设计与实现 (3) 2.2流程图的设计与实现 (4) 第3章程序调试与结果测试 (7) 3.1程序调试 (7) 列举出调试过程中存在的问题 (7) 3.2程序测试及结果分析 (7) 参考文献 (9) 附录(源代码) (10)

第1章总体设计方案 1.1设计原理 通过Verilog语言,编写12小时数字钟电路设计与实现的Verilog程序,一般的做法是底层文件用verilog写代码表示,顶层用写的代码生成的原理图文件链接组成,最后在加上输入输出端口。采用自上而下的方法,顶层设计采用原理图设计输入的方式。 1.2设计思路 1.实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。 2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。 1.3设计环境 (1)硬件环境 ?伟福COP2000型计算机组成原理实验仪 COP2000计算机组成原理实验系统由……… ?COP2000集成调试软件 COP2000集成开发环境是为…………. (2)EDA环境 ?Xilinx foundation f3.1设计软件 Xilinx foundation f3.1是Xilinx公司的可编程期间………….

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级: xx级电子信息工程(x)班 学号: xxxxxxxxxxxx 学生:坏水 指导教师: xxxxxxxxxxxx 时间: 2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级: xx电信本x班 指导教师: xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要求实现电路的基本功能, 使用的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试; 三、进度安排 四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。

2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求 1、数字钟的功能要求:准确计时,以数字形式显示时、分、秒的时间,小时时 要求为“12翻1”,分和秒的计时要求为60进位,要有校正时间电路。 2、直流稳压电源的功能要求:输入220V交流电压,输出+5V直流电压。 一、整体方案原理框图 1、直流稳压电源 直流稳压电源主要包括4个部分,电源变压器,整流电路,滤波器,稳压电路。 2、数字钟 设计框图

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; ⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。 三、制作过程 1.确立电子数字计时器的制作思路 要想构成数字钟,首先应有一个能自动产生稳定的标准时间脉冲信号的信号源。还需要有一个使高频脉冲信号变成适合于计时的低频脉冲信号的分频器电路,即频率为1HZ的“秒脉冲”信号。经过分频器输出的秒脉冲信号到计数器

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

数字钟电路设计与制作实验报告

数字钟电路设计与制作实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计 3、学习电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。可以根据兴趣增加其它与数字钟有关的功能。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、制板及实物制作 三、实验原理及电路设计: 1、设计方案与模块框图 利用74LS161和74LS00 ,555,数码管,开关来设计24小时数字时钟,构造它们主要实现时钟的显示,以及对时、分、秒进行调整,即实现调时的功能。其数字钟系统整体结构 ①74LS161和74LS00计数器:用来设计24小时

②开关与74LS00结合:用来校时,校分,校秒。 ③利用555振荡器:产生脉冲信号 ④数码管:用来显示时分秒。

2、各子模块电路设计及原理说明 74LS161 :十六进制的计数器,当秒到60时要进位当分上利用74LS161与74LS00的结合,当秒、分到60时对其进行清零,进位。当时24时,对其进行清零。当时分秒个位到9时,对其本位(时分秒)清零和进位。 74LS00 与开关:74LS00与开关的结合,以此来控制校对。 555振荡器:利用555设计一个振荡器产生一个脉冲信号,以此来控制信号的进行与停止、时间的校对。 数码管:显示时分秒。 3、仿真图及仿真方法说明 连好图,按一下仿真键,

①若能仿真且准确无误,会出现24小时的显示则成功了。 ②若不能仿真,数码管不会显示出来示数,或者显示紊乱,则失败,检查电路是否正确,有没有连错,少连错连,不断地改正,不断改进,直到可以仿真,可以显示无错。 ③对校时、校分、校秒:按一下开关,脉冲过来就可以,增加一个数,依次按键对其进行时分秒校对。 四、主要实验元件及器材清单:

数字钟课程设计

数字逻辑电路课程设计 课题:数字钟 姓名:刘亮 班级:通信2班 学号:21 成绩: 指导教师:查根龙 开课时间: 2014-2015学年第2学期

摘要 (1) ABSTRACT (2) 第1章设计背景 (3) 1.1设计任务 (3) 1.2设计要求 (3) 1.3 设计目的 (3) 第2章课程设计方案 (4) 2.1 数字钟的基本组成和工作原理 (4) 2.2 振荡电路 (5) 2.3 分频电路 (6) 2.4时分秒计数电路 (7) 2.5 校时校分功能 (10) 2.6整点报时电路 (10) 2.7上下午显示电路 (11) 第三章课程总结 (12) 第四章参考文献 (13) 第五章附件 (14) 5.1 电路原理图 (14) 5.2 元器件清单 (14)

摘要 电子钟在现代社会已经使用的非常广泛,伴随着数字电路技术的发展,数字钟的出现,更加方便了大家的生活,同时也大大地促进了社会的进步。数字电路具有电路简单、可靠性高、成本低等优点,本设计就以数字电路为核心设计智能电子钟。 数字钟就是由电子电路构成的计时器。是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和、报时、上下午显示等附加功能。主电路系统由秒信号发生器、时、分、秒计数器,译码器及显示器,校时电路,上下午显示,整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24和12小时的累计。计数器用的是74160。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过六位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的 关键词:计时器;计数;译码;报时;校时校分

数字时钟电路课程设计书

仿真文件及课程设计详细报告点https://www.docsj.com/doc/a412065968.html,/detail/qq_29833375/9560428 1 功能要求 (1)掌握秒定时电路的设计、仿真与调试,精度±0.1s; (2)掌握十进制时、分、秒计时与LED数码显示电路的设计、仿真与调试;(3)掌握启停、清零电路的设计、仿真与调试; (4)掌握整点蜂鸣器提示电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 2 工作原理及原理框图 数字时钟由振荡器、校时电路、计数器、译码显示、报时电路组成。其中,振荡器用于产生标准的秒信号,其精度控制在±0.1S,秒信号经过秒计数器开始计数,把累加的结果以时、分、秒的形式,经过译码器和显示器显示出来。时显示由时计数器、译码器、显示器构成,分、秒显示由六十进制的分、秒计数器、译码器、显示器构成,其中扩展电路为报时电路,利用分计时器向时计数器的进位信号触发蜂鸣器。当计数电路出现误差时,可以用校时电路进行校时、校分和校秒的功能。

时显示器分显示器秒显示器时译码器分译码器秒译码器 时计数器分计数器秒计数器 校时控制电路 报时电路 555 多 谐 振 荡 器1HZ 图1 3 各单元电路设计 3.1 振荡器 振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,根据实际的任务需要,我们的振荡器仅需产生1HZ的信号供给秒计数器,而无需产生其他频率的信号,因此采用555定时器与RC构成的多谐振荡器,用于产生秒信号,从而省去了分频器。 多谐振荡器的周期计算公式为: T=T1+T2=0.7*(R1+2R2)*C=1s 其中R1设为410Ω,R2设为510Ω,经计算得C=1mF 由于电路较为复杂,振荡器接入整体电路会产生一定的误差,因此将1mF 的电容设定为可变电容,经过多次的仿真和调试确定出可变电容的百分比在26%左右时,振荡器可以产生(1±0.04)HZ的频率,即换算成周期为(1±0.04)s,精度要求符合±0.1s。其中,图2为振荡器的仿真波形图,振荡器可以产生标准方波。图3为振荡器工作时的输出频率。

相关文档
相关文档 最新文档