文档视界 最新最全的文档下载
当前位置:文档视界 › 数电课程设计数字钟

数电课程设计数字钟

数电课程设计数字钟
数电课程设计数字钟

洛阳理工学院

课程设计

课程名称数字电子技术

课题名称多功能数字钟

专业电器工程及其自动化

班级

学号

姓名

指导教师

年月日

洛阳理工学院学院课程设计任务书

课程名称数字电子技术

题目多功能数字钟

专业班级

学生姓名

指导老师

审批

任务书下达日期年月日

设计完成日期年月日

目录

一、设计总体思路、基本原理 (7)

二、设计框图 (8)

三、单元电路设计 (9)

1、分秒计数器电路 (9)

2、24小时计数器电路 (10)

3、整点报时电路 (11)

4、校时电路设计 (13)

5、秒脉冲产生器 (13)

四、EWB软件和重要芯片的介绍 (15)

五、仿真结果 (17)

六、电路的安装与调试 (21)

七、总结与体会 (23)

八、附录 (25)

九、参考文献 (25)

十、整机原理图 (26)

十一、评分表 (27)

多功能数字钟课程设计

一、设计总体思路和基本原理

数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置、具有更长的使用寿命,等优点,因而得到了广泛的应用、小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。数字电子钟由以下几部分组成:秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分。

从课程设计要求来看,数字钟主要分为数码显示器、60进制和24进制计数器、频率振荡器、校时电路和整点报时电路这几个部分。数字钟要完成显示需要6个数码管,八段的数码管需要译码器才能显示,然后要实现时、分、秒的计时需要60进制计数器和24进制计数器,在仿真软件中发生信号可以用函数发生器仿真,频率可以随意调整。频率振荡器可以由晶体振荡器分频来提供,也可以由555定时来产生脉冲并分频为1HZ。方案可以采用74LS160同步十进制加法计数器或采用74LS161十六进制计数器或74LS192十进制异步清零计数器,也可进行组合来组成10进制和6进制的计数器。而小时的24进制也可以采用上述方案。由于我对74LS160的功能比较熟悉,故我分别用六块74LS160芯片来实现,两个60进制和一个24进制的秒、分、时计数。

二、设计框图

图2.1

(1)振荡器电路:一般说来,振荡器的频率越高,计时精度越高。本设计中采用由集成定时器555与RC组成的多谐振荡器,经过调整输出1000Hz脉冲。

(2)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,时个位和时十位计数器为24进制计数器。

(3)译码显示电路:译码显示电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流,我们采用自带译码功能的数码管。

(4)整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时。其作用方式是在整点前

的十秒内,出现奇数秒时报时灯发光,从而实现在最后十秒内闪烁五次,以示提醒。

(5)校时电路:由于数字钟的初始时间不一定是标准时间,而且在数字钟的运行过程中可能出现误差,所以需要校时电路来对“时、分”显示数字进行校对调整。

三、单元电路设计

1、分秒计数器电路

分和秒的进制一样,都采用60进制计数。本设计选用74LS160作为计数器,将一片74LS160设置成10进制加法计数器,另一片设置成6进制加法计数器。两片74LS160按反馈清零法串接而成,当十位和个位总共计满60个数后计数器清零。因为秒计数器的十位的输出端QB、QC表示6,秒计数器的十位的输出端QB、QC通过与非门输出低电平脉冲用作自身清零,秒计数器的十位的输出端QB、QC通过与非门然后接一个非门输出高电平脉冲作分计数器的输入脉冲。秒计数器接受的信号为振荡器经分频后输出的1HZ的标准脉冲,秒计数器接受来自分频器的60个1HZ脉冲后,QB、QC都为逻辑‘1’通过与门输出一个进位脉冲给分计数器,通过与非门和非门输出一个低电平0给清零端,秒计数器清零。当分计数器接受60个来自秒计数器的进位信号后向时计数器的个位给出一个进位信号。秒、分计数器的计数规律是从00——59——00。秒、分计时器电路:

图3.1 分秒计数器仿真电路图

2、24小时计数器电路

时钟计数器设计为24进制计数,本设计选用74LS160作为计数器,开始将两片74LS160都设置成十进制加法计数器,将时个位的QC和时十位的QB接到与非门,然后分别接到两块芯片的清零端,从而实现24进制。既个位计数器的状态为QD QC QB QA = 0100 、十位计数器的状态为QD QC QB QA = 0010时,要求计数器归零。把个位QC、十位QB通过与非门的输出信号送到个位和十位计数器的清零

端,使计数器清零。计数规律是从00——23——00。时计数器电路:

图3.2 24小时计数器电路

3、整点报时电路

数字钟一般都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时。其作用方式是在整点前的十秒内,出现奇数秒1、3、5、7、9时报时灯发光,从而实现在最后十秒内闪烁五次,以示提醒。

本设计采用74LS151数据选择器选出整点前最后十秒内的奇数秒。把秒计数个位的QA、QB、QC分别接到74LS151的A、B、C端,当151的输入是001时,选择输出D1,以此类推,当个位出现9时,‘9’的二进制数1001的后三位是001 ,刚好就是十进制数的‘1’,从而就巧妙的让只有三个数据输入端的74LS151选出了本不能选出来的‘9’。我们通过多个与门选出当分钟的十位为‘5’、个位为‘9’、秒钟的十位为‘5’时的状态,将三个状态与在一起后再非一下,连接到74LS151的G`端,从而控制74LS151在五十九分五十秒的时候开始工作,零分零秒的时候停止工作。74LS151工作时,秒钟是奇数秒时报时灯亮。整点报时电路图:

图3.3 整点报时电路图

4、校时电路设计

通过三个开关分别控制时、分、秒电路的脉冲输入端CLK,当要调时时就把控制时脉冲的开关打开,脉冲就直接输给了时;同样当要调节分和秒时,就分别把分、秒的脉冲开关打开实行调节,电路图如下:

图3.4 校时电路图

5、秒脉冲产生器

由振荡器与分频器组合产生秒脉冲信号。

理论计算:555定时器的脉冲时间是由于RC 充放电确定的。 根据三要素公式 []1

)(1)0(1)(1)(1RC t e

Vc Vc Vc t Vc -∞-++∞=

充电过程 11

)3

1

(32RC t e Vcc Vcc Vcc Vcc --+=

充电时间 1)21(7.02ln )21(11C R R C R R t +=+=

放电过程 12

)03

2

(031RC t e Vcc Vcc --+=

放电时间 127.02ln 221C R C R t == 一个周期时间 f

t t t 121=+=

频率 1

21121)2(43

.1)2(7.011C R R C R R t

f +=

+=

= 首先确定C1=0.1f ,R2=5.1?,需要输出频率f=1HZ ,充放电时间算为 1 ms ,可以确定 R1=4.1?。图3.5 振荡器电路图:

四、EWB软件和重要芯片的介绍

1、EWB提供了仿真实验和电路分析两种仿真分析手段,可用于模拟电路、数字电路、数模混合电路和部分强电电路的仿真实验、分析和设计。与其它软件相比,它的最显著特点是提供一个操作简便且与实际很相似的虚拟实验平台。并且还能进行实际无法或不便进行的实验内容。在做实验的仿真之前,简单的了解了一下这个软件,并且对它的使用方法有了一定的熟悉。

2、74LS160 为可预置的十进制同步计数器,其管脚图如图所示:RCO 进位输出端

ENP 计数控制端

QA-QD 输出端

ENT 计数控制端

CLK 时钟输入端

CLR 异步清零端(低电平有效)

LOAD 同步并行置入端(低电平有效)

74LS160的功能表:

74LS160真值表

3、74LS151的功能真值表

五、仿真结果

1、秒脉冲的产生电路仿真结果

图5.1

2、整点报时电路仿真结果

报时电路仿真的同时,我们可以检测数字钟主体是否运行正常、校时电路是否能正常校时。首先,分钟校时到59分,然后让数字钟自主运行,检验了校时电路、分秒的进位关系、整点报时电路;然后校时到23:59分,检验了时分的进位关系、数字钟的总体运行性能。整点前的报时状态:

3、24小时电路仿真

4、总机电路仿真

数字电路课程设计数字时钟

数字电路课程设计 数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。经过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。

(2)系统框图。 系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相

连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。 5.显示模块:由7段数码管来起到显示作用,经过接受CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 三、秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图

数字电路课程设计——数字钟

— 四川工业科技学院 电子信息工程学院课程设计 专业名称: 电子信息工程 课程名称:数字电路课程设计 \ 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日'

《模拟电子技术课程设计》任务书 一、课题名称:数字钟的设计 二、技术指标: (1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件。 (3)熟悉各元件的作用以及注意事项。 三、要求: (1)设画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系。 (2)设计各个功能模块的电路图,加上原理说明。 (3)选择合适的元器件,设计、选择合适的输入信号和输出方式,确保电路正确性。 指导教师:廖俊东 学生:蔡志荷 电子信息工程学院 2018 年 1 月 10 日

课程设计报告书评阅页 课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷 2018 年 1 月 10 日指导教师评语: 考核成绩:指导教师签名: 20 年月

目录 摘要 .......................................................错误!未定义书签。第1章设计任务与要求........................................错误!未定义书签。 设计指标数字钟简介......................................错误!未定义书签。 具体要求................................................错误!未定义书签。 设计要求................................................错误!未定义书签。第2章元件清单及主要器件介绍................................错误!未定义书签。 元件清单................................................错误!未定义书签。 主要器件介绍............................................错误!未定义书签。 74LS90计数.........................................错误!未定义书签。 74LS47 ..............................................错误!未定义书签。 七段数码显示器......................................错误!未定义书签。第3章设计原理与电路........................................错误!未定义书签。 计时电路................................................错误!未定义书签。 计秒、计分电路......................................错误!未定义书签。 计时电路...........................................错误!未定义书签。 校时电路................................................错误!未定义书签。 报时锁存信号........................................错误!未定义书签。 报时................................................错误!未定义书签。第4章仿真结果及误差分析....................................错误!未定义书签。 实验结果................................................错误!未定义书签。 实时分析................................................错误!未定义书签。第5章设计总结..............................................错误!未定义书签。参考文献......................................................错误!未定义书签。

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数电课程设计 数字电子钟

数字电子技术课程设计 数字电子钟 指导老师: 小组成员:

目录 摘要 (3) 第一节系统概述 (4) 第二节单元电路设计与分析 (6) 第三节电路的总体设计与调试 (11) 第四节设计总结 (13) 附录部分芯片功能参数表 (14) 参考文献 (17)

摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 关键词振荡器、计数器、译码显示器、Multisim

第一节系统概述 数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。 1.1实验目的 1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3).提高电路布局﹑布线及检查和排除故障的能力; 4).培养书写综合实验报告的能力。 1.2 主要内容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。 1.3 系统设计思路与总体方案 数字时钟基本原理的逻辑框图如下所示:

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

数电课程设计数字电子时钟样本

数字逻辑课程设计说明书 题目: 多功能数字钟 专业: 计算机科学与技术 班级: 姓名: 学号: 完成日期: -9 一、设计题目与要求

设计题目: 多功能数字钟 设计要求: 1.准确计时, 以数字形式显示时、分、秒的时间。 2.小时的计时能够为”12翻1”或”23翻0”的形式。 3.能够进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率?( 1HZ) 进行计数的计数电路。由于计数的起始时间不可能与标准时间( 如北京时间) 一致, 故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号, 可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求, 时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态, 而且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管一般有发光二极管( LED) 数码管和液晶( LCD) 数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、 R2, 电容C1、 C2 构成一个多谐振荡器, 利用电容的充放电来调节输出V0, 产生矩形脉冲波作为时钟信号, 因为是数字钟, 因此应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路, 按时间进制从右到左构成从低位向高位的进位电路, 并经过译码显示。在六位LED 七段显示起

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数字电路课程设计——数字钟

四川工业科技学院 电子信息工程学院课程设计专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日

《模拟电子技术课程设计》任务书 一、课题名称:数字钟的设计 二、技术指标: (1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件。 (3)熟悉各元件的作用以及注意事项。 三、要求: (1)设画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系。 (2)设计各个功能模块的电路图,加上原理说明。 (3)选择合适的元器件,设计、选择合适的输入信号和输出 方式,确保电路正确性。 指导教师:廖俊东 学生:蔡志荷 电子信息工程学院 2018年1月10日

课程设计报告书评阅页 课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷 2018年1月10日指导教师评语: 考核成绩:指导教师签名: 20 年月

目录 摘要 (1) 第1章设计任务与要求 (2) 1.1 设计指标数字钟简介 (2) 1.2 具体要求 (2) 1.3 设计要求 (3) 第2章元件清单及主要器件介绍 (4) 2.1 元件清单 (4) 2.2 主要器件介绍 (4) 2.2.1 74LS90计数 (4) 2.2.2 74LS47 (5) 2.2.3 七段数码显示器 (7) 第3章设计原理与电路 (8) 3.1 计时电路 (8) 3.1.1 计秒、计分电路 (8) 3.1.2 计时电路 (10) 3.2 校时电路 (11) 3.2.1 报时锁存信号 (13) 3.2.2 报时 (13) 第4章仿真结果及误差分析 (15) 4.1 实验结果 (15) 4.2 实时分析 (15) 第5章设计总结 (16) 参考文献 (17)

大连理工大学数字电路课程设计报告_多功能数字时钟设计说明

理工大学本科实验报告 题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生: 学号: 完成日期: 2014年7月16日

2014 年 7 月 16 日 题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

数电课设大作业数字钟

大连理工大学本科实验报告 题目:数字钟 课程名称:数字电路课程设计 学院(系):电信 专业:电气 班级:1201 学生姓名: 学号: 完成日期:2014.11.23 成绩: 2014 年11 月23 日 课程设计得分表 一、数字钟课程设计要求: 1、设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。 2、整点报时。两种方法任选其一: ⑴发出仿中央人民广播电台的整点报时信号,即从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次要求高音“嘀”的信号,此信号结束即达到整点。“嘟”是500Hz左右的频率输出,“嘀”是1000Hz左右的频率输出 ⑵通过LED闪烁实现,闪烁频率及花型可自己设计并在这里说明。 3、手动校时、校分、校秒。 4、定时与闹钟功能,能在设定的时间发出闹铃声。 5、设计一个秒表,显示1%秒到60秒、手动停止。 6、设计一个倒计时,显示小时、分钟、秒。 7、其他创新。 第1题25分,其他每题5分 二、课程设计考试(40分,每题分): 考试题目: 1、实体名□

2、计数器□ 3、异步清零□ 4、进位输出□ 5、仿真图□ 6、数码管输出□ 7、分频□ 8、元件例化□ 9、引脚分配□ 10、下载□

题目:数字钟 (1.大连理工大学电信学院,辽宁大连,116023; 2. 大连理工大学电工电子实验中心,辽宁大连,116023;) 1.设计要求 一、电子表部分: (1)由晶振电路产生1HZ的校准秒信号。? (2)设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器具有手动校时、校分,校秒和清零的功能。? (3)整点报时功能,通过LED闪烁实现,此实验LED灯亮一秒。 二、秒表部分: (1)有晶振产生100HZ的校准0.01秒信号。 (2)设计一个有“时”、“分”、“秒”、“0.1秒”、“0.01秒”(23小时59分59秒99)显示功能 (3)具有开始计时暂停计时功能和清零功能 三、具有电子表和秒表状态切换。 四、划出框图和逻辑电路图,写出设计。 2. 设计分析及系统方案设计 1设计主要分为数字钟和秒表计时两个部分并选择用二选一数据选择器来实现两种功能切换。 2由于时钟用的是cyclone2开发板上提供的50MHz晶振的频率,所以数字时钟和秒表计时都用到分频器分频分别得到1Hz和100Hz的时钟频率。 3数字时钟部分包括分频部分即分频器;计时部分,包含模六计数器、模十计数器;选位调节部分,分别对时钟分钟和小时部分进行调节,用二选一数据选择器实现;数字显示部分,用到6个4-16译码器,和6个数码显示管;整点报时部分,其中报时用LED灯闪烁代替;含有清零端开关。 4 秒表计时包含分频器;计时器包含模六计数器,模十计数器,模三计数器;数字显示器包含有8个4-16译码器,8个数码显示管;计时启停开关,清零开关。 3.系统以及模块硬件电路设计 输入:晶振50MHz,选位开关(0为可调节,1为正常计时),数字钟清零开关(0为清零),开关切换计时状态(1为数字计时,0为秒表计时),秒表启停开关(1启动,0停止),秒表复位开关(0复位),选择输出开关(1输出数字计时数字,0输出秒表计时数字)。 输出:LED灯,数字显示部分。

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

数电课程设计 数字时钟

机电工程学院 本科生课程设计 题目:数字时钟 课程:数字电子技术 专业:电气工程及其自动化 班级: 学号: 姓名: 指导教师: 完成日期:

任务书

目录 1设计的目的及任务 (1) 1.1 课程设计的目的 (1) 1.2 课程设计的任务与要求 (1) 1.3 课程设计的技术指标 (1) 2 数字时钟的介绍和原理 (2) 2.1 数字时钟的介绍 (2) 2.2 数字时钟的电路组成 (2) 2.3 数字时钟的工作原理 (3) 3 数字时钟总设计方案和各部分电路设计方案 (4) 3.1 数字时钟总设计方案 (4) 3.2 各部分电路设计方案 (5) 3.3 总电路设计图 (17) 4 电路仿真 (17) 5收获与体会 (24) 6 仪器仪表明细清单 (24) 参考文献 (25)

1.设计的目的及任务 1.1 课程设计的目的 (1)巩固所学的相关理论知识; (2)实践所掌握的电子制作技能; (3)会运用Mutisim工具对所作出的理论设计进行模拟仿真测试,进一步完善理论设计;(4)通过查阅手册和文献资料,熟悉常用电子器件的类型和特性,并掌握合理选用元器件的原则; (5)掌握模拟电路的安装\测量与调试的基本技能,熟悉电子仪器的正确使用方法,能力(6)分析实验中出现的正常或不正常现象(或数据)独立解决调试中所发生的问题;(7)学会撰写课程设计报告; 1.2 课程设计的任务与要求 (1)根据技术指标要求及实验室条件设计出电路图,分析工作原理,计算元件参数;(2)列出所有元器件清单; (3)安装调试所设计的电路,达到设计要求; (4)记录实验结果。 1.3 课程设计的技术指标 (1)准确计时,用数码管显示小时、分和秒; (2)小时以24小时计时; (3)带有时间校正功能; (4)“闹钟”功能;

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

相关文档
相关文档 最新文档