文档视界 最新最全的文档下载
当前位置:文档视界 › 运算器实验总结

运算器实验总结

运算器实验总结

一、引言

在现代科技高度发展的今天,计算机已经成为了人们生活和工作中不可或缺的一部分。而计算机的核心部件之一就是运算器。运算器作为计算机的“大脑”,起着重要的计算和控制作用。本文将对运算器实验进行总结,包括实验目的、实验过程和实验结果等内容。

二、实验目的

运算器实验的目的是通过设计和实现一个简单的运算器电路,加深对计算机运算原理的理解,以及培养学生的动手能力和解决问题的能力。

三、实验过程

运算器实验分为设计和搭建电路两个步骤。

1. 设计

在实验开始之前,我们需要根据运算器的功能需求,设计出运算器电路的逻辑结构。运算器一般包括算术逻辑单元(ALU)和控制单元(CU)等组成部分。我们可以根据实验要求,设计出适合的运算器结构。

2. 搭建电路

在设计完成后,就可以开始搭建运算器电路了。首先,我们需要根据设计图纸,准备所需的电子元件,如逻辑门、开关和触发器等。然

后,按照电路图的连接顺序,一步一步地将电子元件连接起来,形成

一个完整的运算器电路。

3. 调试与测试

搭建完成后,需要经过调试和测试来确保电路的正常工作。我们可

以通过给电路输入不同的二进制数值,观察电路输出是否符合预期结

果来判断电路的正确性。如果出现问题,可以逐步检查电路连接是否

正确,是否存在元件损坏等情况。

四、实验结果

经过设计、搭建和调试测试,最终我们得到了一个正常工作的运算

器电路。在测试过程中,我们对电路进行了多组输入输出的验证,结

果表明电路正常。通过我们的运算器,可以完成四则运算、逻辑运算

等基本运算需求。

五、实验启示

通过这次运算器实验,我们收获了很多。

首先是对计算机运算原理的深入理解。在设计和搭建电路的过程中,我们不仅需要了解计算机的基本运算原理,还需要将理论知识实际应

用到电路设计和调试中。实践过程不仅加深了我们对计算机原理的理解,还帮助我们发现了一些之前未曾察觉到的问题和异常现象。

其次是培养了动手能力和解决问题的能力。在实验过程中,我们需

要亲自动手进行电路的搭建和调试。这些操作不仅需要耐心和细心,

还需要能够在出现问题时迅速定位和解决。通过实验,我们提高了动

手操作的能力,培养了解决问题的能力。

最后是团队合作的意识。在实验中,我们需要与同组成员密切合作,共同完成电路的设计、搭建和调试。合理分工、相互配合是成功完成

实验的关键。通过团队合作,不仅可以减轻个人的负担,而且可以借

鉴他人的经验和思路,得到更好的实验结果。

六、结语

通过运算器实验,我们深入理解了计算机运算原理,培养了动手能

力和解决问题的能力,以及意识到了团队合作的重要性。这次实验不

仅仅是知识的积累,更是对我们未来计算机科学领域的学习和发展提

供了坚实的基础。期待在以后的学习中能够不断拓展自己的知识和能力,成为一名优秀的计算机科学家。

计算机组成原理实验报告

重庆理工大学 《计算机组成原理》 实验报告 学号 __11503080109____ 姓名 __张致远_________ 专业 __软件工程_______ 学院 _计算机科学与工程 二0一六年四月二十三实验一基本运算器实验报告

一、实验名称 基本运算器实验 二、完成学生:张致远班级115030801 学号11503080109 三、实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 四、实验原理: 两片74LS181 芯片以并/串形式构成的8位字长的运算器。右方为低4位运算芯片,左方为高4位运算芯片。低位芯片的进位输出端Cn+4与高位芯片的进位输入端Cn相连,使低4位运算产生的进位送进高4位。低位芯片的进位输入端Cn可与外来进位相连,高位芯片的进位输出到外部。 两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时,开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCHUNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结果至总线时也应保证数据输入三态门是在关闭状态。 运算结果表

计算机组成原理运算器实验

实验一运算器实验 计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU中的运算器来完成,运算器也称作算术逻辑部件ALU。本章首先安排一个基本的运算器实验,了解运算器的基本结构,然后再设计一个加法器和一个乘法器。 一、实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 本实验的原理如图1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-2所示。图中显示的是一个4×4的矩阵(系统中是一个8×8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

计算机组成原理实验报告说明

实验一运算器组成实验 一、实验目的 1、掌握运算器的组成及工作原理; 2、了解4位函数运算器74LS181的组合功能,熟悉运算器执行算术和逻辑操作的具体实现过程; 3、验证带进位控制的运算器功能。 二、实验设备 1、EL-JY系列计算机组成及系统结构实验系统一套 2、排线若干。 三、工作原理: 算术逻辑单元ALU是运算器的核心。集成电路74LS181是4位运算器,四片74LS181以并/串形式构成16位运算器。它可以对两个16位二进制数进行多种算术或逻辑运算,74LS181 有高电平和低电平两种工作方式,高电平方式采用原码输入输出,低电平方式采用反码输入输出,这里采用高电平方式。 三态门74LS244作为输出缓冲器由ALU-G信号控制,ALU-G 为“0”时,三态门开通,此时其输出等于其输入;ALU-G 为“1”时,三态门关闭,此时其输出呈高阻。 四片74LS273作为两个16数据暂存器,其控制信号分别为LDR1和LDR2,当LDR1和LDR2 为高电平有效时,在T4脉冲的前沿,总线上的数据被送入暂存器保存。 四、实验内容:

验证74LS181运算器的逻辑运算功能和算术运算功能。 五、实验步骤 1、按照实验指导说明书连接硬件系统; 2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口: 1)、在数据总线上输入有效数据,按"Ldr1",数据送入暂存器1; 2)、在数据总线上输入有效数据,按"Ldr2",数据送入暂存器2; 3)、在S3...Ar上输入有效数据组合,按"ALU功能选择端",运算器按规定进行运算,运算结果送入数据缓冲器; 4)、按"ALU_G",运算结果送入数据总线。 5)、执行完后,按"回放",可对已执行的过程回看。 6)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。 六、实验结果

计算机组成原理 实验报告

计算机组成原理实验报告

实验一基本运算器实验 一、实验目的 1.了解运算器的组成结构 2.掌握运算器的工作原理 3.深刻理解运算器的控制信号 二、实验设备 PC机一台、TD-CMA实验系统一套 三、实验原理 1.(思考题)运算器的组成包括算数逻辑运算单元ALU(Arithmetic and Logic Unit)、浮点运算单元FPU(Floating Point Unit)、通用寄存器组、专用寄存器组。 ①算术逻辑运算单元ALU(Arithmetic and Logic Unit) ALU主要完成对二进制数据的定点算术运算(加减乘除)、逻辑运算(与或非异或)以及移位操作。在某些CPU中还有专门用于处理移位操作的移位器。 通常ALU由两个输入端和一个输出端。整数单元有时也称为IEU(Integer Execution Unit)。我们通常所说的“CPU是XX位的”就是指ALU所能处理的数据的位数。 ②浮点运算单元FPU(Floating Point Unit) FPU主要负责浮点运算和高精度整数运算。有些FPU还具有向量运算的功能,另外一些则有专门的向量处理单元。 ③通用寄存器组 通用寄存器组是一组最快的存储器,用来保存参加运算的操作数和中间结果。 ④专用寄存器 专用寄存器通常是一些状态寄存器,不能通过程序改变,由CPU自己控制,表明某种状态。 而运算器内部有三个独立运算部件,分别为算术、逻辑和移位运算部件,逻辑运算部件由逻辑门构成,而后面又有专门的算术运算部件设计实验。 下图为运算器内部原理构造图

2.运算器的控制信号 实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供(脉冲信号),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 下图为ALU和外围电路的连接。图中的小方框代表排针座。

集成运算放大器实验总结

集成运算放大器实验总结 集成运算放大器是电子电路中一种重要的元件,广泛应用于各种 电路中。本次实验旨在理解和掌握集成运算放大器的基本原理、特性 及其在电路中的应用。通过本次实验,我收获了很多,下面我将对实 验内容进行总结。 首先,在本次实验中,我们深入学习了集成运算放大器的基本原理。集成运算放大器是一种高增益、高输入阻抗、低输出阻抗的电子 放大器。它是由运算放大器芯片和外围元件构成的,通过给定输入信号,集成运算放大器可以将输入信号放大,并输出一个放大后的信号。在实验中,我们仔细观察了运算放大器芯片的引脚及其功能,并充分 了解了电压放大倍数、输入阻抗、输出阻抗等重要概念。 其次,本次实验中,我们通过实际操作,对集成运算放大器进行 了测试与应用。我们首先搭建了一个基本的非反馈放大电路,通过输 入不同的信号,观察了输出信号的变化。我们发现,当给定输出电压 的情况下,输入信号的改变并不会影响输出信号的大小和波形,这说 明非反馈放大电路具有很好的稳定性和线性性。然后,我们进一步搭 建了反馈放大电路,并对其进行了测试。通过改变反馈电阻和输入信号,我们发现可以通过调整电路的参数来实现不同的放大倍数和频率 响应。这为我们设计和调试电路提供了很大的便利。 最后,本次实验中,我们还学习了如何选择适合的运算放大器芯片,并了解了一些常见的集成运算放大器应用电路。在实验中,我们

使用了TL081和LM741等常见的运算放大器芯片,并对其性能进行了对比。我们了解到不同的运算放大器芯片具有不同的性能指标和适用范围,因此在实际应用中需要根据具体要求选择合适的芯片。同时,我们还学习了比较器、积分器、微分器等常见应用电路,并通过实验验证了它们的基本工作原理和特性。 通过本次实验,我深刻认识到集成运算放大器在电子电路中的重要性和广泛应用。它不仅可以实现电压放大、信号调理、滤波等基本功能,还可以应用于仪器仪表、通信系统、自动控制等众多领域。在今后的学习和工作中,我将进一步探索和应用集成运算放大器,不断提高自己的实验技能和电路设计能力,为解决实际问题做出更大的贡献。

计算机组成原理实验-运算器实验报告

1.逻辑运算 (1)S3S2S1S0=0000时,F=A,例如:当A=00010101,B=01101001时 F=00010101; 当A=01011000时,B=01011110时 F=01011000 (2)S3S2S1S0=0001时,F=B,例如: 当A=10110111,B=01110010时 F=01110010 当A=11100011,B=01010110 F=01010110 (3)S3S2S1S0=0010时,F=AB。例如:当A=10110010,B=10010111时 F=10010010 当A=11000011,B=00111100时 F=00000000 (4)S3S2S1S0=0011时,F=A+B。例如:当A=00110101,B=11001010时, F=11111111 当A=01011011,B=11000101时 F=11011111 (5)S3S2S1S0=0100时,F=/A。例如:

当A=00110100,B=11010010时, F=11001011 当A=01001111,B=10100101时 F=10110000 2.移位运算 (1)S3S2S1S0=0101时,F=A逻辑右移B(取低三位)位。例如: 当A=01000101,B=00000010时, F=00010001 当A=01011011,B=00000101时 F=00000010 (2)S3S2S1S0=0110时,F=A逻辑左移B(取低三位)位。例如: 当A=00110101,B=00000011时, F=10101000 当A=01101011,B=00000001时 F=11010110 (3)S3S2S1S0=0111时,F=A算术右移B位。例如:当A=01110101,B=00000010时, F=00011101 当A=01000111,B=00000101时

计算机组成原理运算器的实验报告

计算机组成原理运算器的实验报告 一.实验目的及要求 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二.实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑 和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时接受来自 A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4 的矩阵(系统中是一个8X8 的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0 。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填 充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供(时序单元的介绍见附录二),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示(以A0为例,其它相同)。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。 三.实验步骤与结果 (1)按图1-1-5连接实验电路,并检查无误。图中将用户需 要连接的信号用圆圈标明(其它实验相同)。 (2) 将时序与操作台单元的开关KK2 置为‘单拍’档, 开关KK1 、KK3 置为‘运行’档。 (3) 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接 线,直到错误排除。然后按动CON单元的CLR 按钮,将运算器的A、B 和FC、FZ清零。 (4) 用输入开关向暂存器A 置数。 ①拨动CON单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1 ’,灭为‘0 ’。 ②置LDA=1,LDB=0,连续按动时序单元的 ST 按钮,产生一个

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

计算机组成原理实验报告——2运算器实验 华北电力大学 李梅

科技学院 综合实验报告 ( 2011 -- 2012 年度第一学期) 名称:计算机组成原理综合实验题目:运算器实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:第十八周 成绩: 日期:年月

一、目的与要求 1.熟悉与深入理解4位的运算器芯片Am2901的功能和内部组成,运行中要求使用的 控制信号及其各自的控制作用; 2.熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各 数据位信号、各控制位信号的连接关系; 3.熟悉与深入理解用2片GAL20V8芯片解决ALU最低位的进位输入信号和最高、最低 位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在 运算器芯片之内实现而要到芯片之外另外处理; 4.明确教学计算机的运算器部件,使用总计23位的控制信号就完全确定了它的全部 运算与处理功能,脱机运算器实验中可以通过24位的微型开关中的23位提供这些 控制信号,教学计算机正常执行指令时,这些控制信号必须改由控制器部件来提供。 在两种方式下,每一位(组)的控制功能是完全相同的。 二、实验正文 1.实验内容 1.1脱机运算器和联机运算器的区别和联系 运算器是计算机硬件系统传统的5大功能部件之一,承担执行运算和暂存运算数据的功能,通常由执行算术逻辑运算功能的ALU线路、暂存参加ALU运算的数据和中间运算结果的通用寄存器组、支持乘除法运算的专用寄存器三部分组成,三个部分之间通过多路选择器线路实现连接,从而构成一个完整的运算器部件。 TEC-XP16教学计算机的运算器部件,主体部分由4片4位长度的位片结构的运算器芯片Am2901组成,每片Am2901可以接收来自内部总线IB的4位输入数据,其4位输出都直接送到地址寄存器AR的不同字段(AR不属于运算器的组成部分, 图中用虚线框表示),并且经过支持三态功能的开关门电路送到内部总线IB。还要 使用MACH芯片内部的部分电路提供ALU最低位的进位输入信号和最高、最低位的移 位输入信号,使用一片GAL20V8实现4位的标志位寄存器FLAG,接收ALU输出的4 个标志位信号和来自内存堆栈区的4位数据(用于恢复现场状态信息),FLAG的4位输出可以经过一片带支持三态功能的开关门电路送到内部总线IB,用于保存现场状态信息到堆栈区。教学机运算器部件的组成线路和信息连接关系如图2.1所示。

运算器实验实验报告

八位运算器实验分析 1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。 在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。 2,八位运算器的实验原理框图 3,VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exp_r_alu is port( clk: in std_logic; sw_bus,r4_bus,r5_bus,alu_bus:in std_logic; lddr1,lddr2,ldr4,ldr5: in std_logic; m,cn: in std_logic; s: in std_logic_vector(3 downto 0); k: in std_logic_vector(7 downto 0); d: inout std_logic_vector(7 downto 0)); end exp_r_alu ; architecture rtl of exp_r_alu is signal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0); signal sel:std_logic_vector(5 downto 0);

测试计划简易计算器实验报告

测试计划简易计算器实验报告 Experiment Report on Calculator Prototype Testing. Purpose: The purpose of this experiment was to evaluate the accuracy and functionality of a prototype calculator. Procedure: The following steps were followed during the experiment: 1. The calculator prototype was connected to a computer running a testing software. 2. A set of test cases were developed to cover the different functions of the calculator. 3. The test cases were executed on the calculator prototype, and the results were recorded.

4. The results were analyzed to assess the accuracy and functionality of the calculator. Results: The following results were obtained from the experiment: The calculator prototype was able to perform all of the basic arithmetic operations accurately. The calculator prototype was able to handle negative numbers and decimal values correctly. The calculator prototype was able to calculate percentages and square roots correctly. The calculator prototype was able to handle parentheses correctly. The calculator prototype was easy to use and understand.

华中科技大学 组成原理实验报告 运算器组成实验

课程实验报告课程名称:计算机组成原理 专业班级:信息安全1003班 学号:U********* *名:*** 同组成员:张源信 报告日期:2012年5月 计算机科学与技术学院

目录 一、实验名称 (3) 二、实验目的 (3) 三、实验设备 (3) 四、实验任务 (3) 五、预备知识 (4) 1、运算器的相关知识 (4) 2、注意事项: (4) 六、设计思路、电路实现与电路分析说明 (4) 1、任务分析 (4) 2、设计思路 (6) 3、电路实现与详细分析说明 (7) 七、实验结果的记录与分析 (9) 八、实验中碰到的问题及解决办法 (10) 九、收获与体会 (10) 十、参考书目 (11)

一、实验名称 实验名称:运算器组成实验 二、实验目的 1、掌握带累加器的运算器实验 2、掌握溢出检测的原理及实现方法 3、理解有符号数和无符号数运算的区别 4、理解基于补码的加\减运算实现原理 5、熟悉运算器的数据传输通路 6、利用74181和74182以及适当的门电路和多路选择器设计一个运算,要求支持有符号数和无符号数的运算支持补码加减法运算,支持有符号数溢出检测等功能 三、实验设备 JZYL—Ⅱ型计算机组成原理实验仪一台 芯片:74LS181运算器芯片2片 74LS373 8D锁存器3片 四、实验任务 自己设计一个电路和利用实验参考电路进行实验,实验要求先将多个运算数据事先存入存储器中,再由地址选中,选择不同的运算指令,进行运算,并将结果显示,还可以进行连续运算和移位,最后将最终结果写入到存储器中。

五、预备知识 1、运算器的相关知识 运算器是对数据进行加工处理的部件,它具体实现数据的算术运算和逻辑运算,所以又称算术逻辑运算部件,简称ALU ,它是中央处理器的重要组成部分。计算机中的运算器结构一般都包含如下几个部分:加法器、一组通用寄存器、输入数据选择电路和输出数据控制电路等。74LS181能执行16种算术运算和16种逻辑运算,当工作方式控制端(M )为低电平时执行算术运算,当工作方式控制端(M )为高电平时执行逻辑运算,运算功能由功能选择端(S0-S3)决定。 对74LS181的说明: 引出端符号: 30~A A 运算数输入端(低电平有效) 30~B B 运算器输入端(低电平有效) n CI 进位输入端 4 n CO 进位输出端 30~F F 运算输出端(低电平有效) M 工作方式控制 30~S S 功能选择 2、注意事项: 1)74LS181的输入和输出应按顺序,不能接乱或接反。 2)实验中的开关较多,实验时若记不清楚就容易因混乱而发生错误,因此对于用不到的引脚就不用接开关了。 六、设计思路、电路实现与电路分析说明 1、任务分析 方案选择:这个实验既可以自己设计电路,也可以参考老师所给的电路。我们选择了参考老师所给的参考电路。 芯片选择与分析:根据方案的示意图,方案需要用到两片74LS181芯片和三片

运算器实验(2)

四运算器实验(2) 09软件2班严小玲20091004171 一、实验名称:运算器实验 二、实验日期:2010年11月30日星期二 三、实验设备: 1. TEC-2数学计算机 2. 微型计算机 四、实验目的: 1. 深入了解AM2901运算器的功能和具体用法; 2. 熟悉AM2901芯片的功能及其控制信号的运用; 3. 学习用脱机方式观察指令的执行及对F标志的影响; 4. 观察测量并行和串行进位链的进位延迟时间。 五、实验原理: 1,并行和串行进位链的逻辑实现和特点 2,AM2901芯片的接入方式,采用了跨接线办法。 六、实验内容及步骤: 七、实验结果: (一)脱机下实现操作,联机下验证实验 1. 在脱机状态下,实现下列操作,并以表格形式记录ALU输出和SVZC标志(按STEP键前、后分别记录) 1)将FFFFH送入R0寄存器 2)将FFFFH送入R1寄存器 3)实现R1+R0→R1,即ADD R1,R0 4)将0001H送R2寄存器 5)实现R2-1→R2,即DEC R2 6)将000FH送R3 7)将FF00H送R0寄存器 8)将00FF送R1寄存器

9)实现R1与R0异或后送R1,即XOR R1,R0 实现上述操作,并填写实验结果中表(1) 表(1): 注意事项:注意记录按STEP之前和之后的结果 2. 在联机方式下,通过输入汇编指令来验证上面的结果。步骤:(1)输入汇编程序 A800: 800:MOV R0,FFFF MOV R1,FFFF ADD R1,R0 MOV R2,0001 DEC R2 MOV R3,000F MOV R0,FF00

MOV R1,00FF XOR R1,R0 RET (2)通过R指令,查看寄存器的结果,以及F结果的输出。 (二)联机下实现操作,脱机下验证实验 1、学习联机方式下的单步执行方法 (1)联机状态的设定 ①将FS1-FS4置为:1010,即从0地址起执行监控程序; ②将STEP/CONT=CONT (2)联机方式调试程序 在终端上用A命令输入如下程序: 800:MOV R0,0F0F MOV R1,0F0F MOV R2,000F MOV R3,0001 808:ADD R1,R0 SUB R1,R0 SHR R3 INC R2 RET

相关文档