文档视界 最新最全的文档下载
当前位置:文档视界 › 多功能数字时钟设计说明书

多功能数字时钟设计说明书

多功能数字时钟设计说明书
多功能数字时钟设计说明书

电子技术综合训练

设计报告

题目:多功能电子钟的设计

姓名:

学号:

班级:

同组成员:

指导教师:李恒杰

日期:2011年12月30日

摘要 (3)

一、设计任务和要求 (4)

1.1设计任务 (4)

1.2基本要求: (4)

1.3扩展功能: (4)

二、系统设计: (4)

2.1系统基本要求 (4)

2.2系统方案设计 (4)

2.2.1总体设计原理方框图 (4)

2.2.2系统工作原理 (5)

2.3系统的单元电路设计 (6)

2.3.1秒脉冲电路 (6)

2.3.2在分和秒之间显示“:”的设计 (8)

2.3.3.译码驱动及显示单元电路设计 (9)

2.3.4 校时单元电路设计 (11)

2.3.5整点报时的设计 (12)

三.系统仿真 (13)

总仿真图12所示 (13)

四.电路安装、调试与测试 (15)

4.1电路安装焊接 (15)

4.2电路的调试 (16)

4.2.1数码管的调试 (16)

4.2.2各个部分的调试。 (16)

4.2.3总电路的调试 (18)

4.3 电路测试 (18)

4.3.1功能测试 (18)

4.3.2性能测试 (18)

五、结论 (18)

六、参考文献 (19)

附录: (22)

1. 用到器件的管脚图....................................................................... 错误!未定义书签。23

2.电路调试的实物图 (24)

电子钟是采用数字电路实现对时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,因此,研究数字钟及扩大其应用,有着非常现实的意义。

这份设计报告主要介绍了一种以石英晶体振荡器为脉冲信号,以74ls161为主体,以数码管为显示器件的数字钟电路的设计。电子钟用石英晶体振荡器等组成的多谐振荡器为脉冲信号,频率为1Hz。其主体分两个部分,计时电路和校时电路。计时电路以数字形式显示时、分、秒,其中秒和分为60进制,时为24进制,校时电路可对分和时进行校时,当达到整点时会自动报时

关键词:数字钟、校时、石英晶体振荡器、整点报时

一、设计任务和要求

1.1设计任务

设计一个多功能电子钟并制作完成

1.2基本要求:

1、数字形式显示时、分、秒,在分和秒之间显示“:”,并按1次/秒的速度闪烁;

2、每日以24小时为一个记时周期;

3、有校正功能,能够在任何时刻对电子钟进行方便的校正;

4、电源:220V/50HZ的工频交流电供电;①

5、按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。

1.3扩展功能:

整点时刻通过蜂鸣器给出提示。

二、系统设计:

2.1系统基本要求

在本设计中电路是由中小规模集成电路组成多功能电子时钟,对于一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、计数器、译码器、显示器、校时电路、报时电路等六部分组成。用石英晶体振荡器构成振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。

2.2系统方案设计

2.2.1总体设计原理方框图

①注:直流电源部分仅完成设计即可,不需制作,用实验室提供的稳压电源调试,但要求设计的直流电源能够满足电路要求)

如下图1:

图1.多功能电子钟系统组成框图

2.2.2系统工作原理

由振荡器产生的稳定的标准秒脉冲作为数字时钟的时间基准。秒计数器计满60后向分计数器进位,分计数器计满60后向时计数器进位,小时计数器按照24进1的规律计数。计数器的输出经译码器送显示器。计时出现误差时可以用校时电路进行校时。

1)振荡器电路:石英晶体振荡器通过分频得到1Hz 的秒脉冲

2)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。

3)译码驱动电路:译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。

4)校时电路:当重新接通电源或走时出现误差时都需要对时间进行校正。

5)整点报时电路:在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波。

6)直流稳压电源:本设计采用的直流稳压电源,输入为220V的交流电,输出为5V左右的稳定电压。

2.3系统的单元电路设计

2.3.1秒脉冲电路

1.晶体体振荡器电路

采用石英晶体振荡器。使用振荡频率为32768Hz的石英晶体和反向器构成一个稳定性好、精度高的时间信号源。改变电容C可以对振荡器的频率进行微调,再通过一个反相器,输出32768Hz的方波,将此方波的频率进行15次二分频后,在输出端刚好可得到频率为1Hz的脉冲信号。

2. 芯片的选择

考虑到设计成本的限制以及常见性选择74LS161作为计数器。

1)芯片的介绍

74LS161为可预置的4 位二进制同步计数器,74LS161 的清除端是异步的。当清除端CLEAR 为低电平时,不管时钟端CLOCK 状态如何,即可完成清除功能。74LS161 的计数是同步的,靠CLOCK 同时加在四个触发器上而实现的。关于更详细的介绍请查看相关的数据手册,在此不再赘述。其引脚图见附录2。

3.计数电路的设计

时间计数单元有时计数、分计数和秒计数等几个部分。时计数单元一般为24进制计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码。

1)60进制计数器

由74ls161构成的60进制计数器,将一片74ls161设计成10进制加法计数器,另一片设计成6进制加法计数器。两片74ls161按反馈清零法串接而成。秒/分计数器的十位和个位,输出脉冲除用作自身清零外,同时还作为分计数器的输入脉

冲CP1。下图3所示电路即可作为秒/分计数器。

图3 秒/时计数器电路

2)24进制计数器

由74ls161构成的二十四进制计数器,将一片74ls161设计成四进制加法计数器,另一片设置二进制加法计数器。即个位计数状态为Q d Q c Q b Q a = 0100,十位计数状态为Q d Q c Q b Q a= 0010时,要求计数器归零。通过把个位Q c、十位Q b相与非后的信号送到个位、十位计数器的清零端,使计数器清零,另外还要考虑一个问题就是个位还必须达到10后向十位进位,如下图4构成24进制计数器。电路图如下:

图4 24进制计数器

2.3.2在分和秒之间显示“:”的设计

1.发光二极管的限流电阻的选择。

不能让LED一直工作在最大额定值。所以正向电流IF≤最大额定值(一般是30mA)。根据常识我们可以知道,电流大,LED发光强,但消耗的功率大。电流小,LED发光小,消耗的功率小。通常电路用LED是做指示用途,电路的总体功耗要控制,不能都消耗在指示灯上,当然还要考虑电源的功率要满足后面电路功耗的要求,并且最好要有富裕。所以这个LED的正向电流我们选取20mA,正向压降为3.3V。

限流电阻可以根据下式计算:

限流电阻=(电源电压-LED正向稳压电压)/要求的工作电流选取IF=20mA,VF=3.3V,电源电压Vcc=5V:

限流电阻=(5V-3.3V)/20mA=85 Ω

故本设计电路中选择85*2=170Ω≈180Ω

2.电路原理图

在秒计数器的前面加两个串联的发光二极管,并加上180Ω的限流电阻,共阳极接高电平,共阴极接到秒脉冲的输出端,则两个发光二极管按1次/秒的速度闪烁;

在分计数器前面加两只发光二极管,阳极接高电平并加上180Ω,阴极接低电平,则两只二极管一支处于点亮状态。电路图5如下所示

图5显示“:”电路的原理图

2.3.3.译码驱动及显示单元电路设计

计数器实现了对时间的累计以8421BCD码形式输出,为了将计数器输出的8421BCD码显示出来,需用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,一般这种译码器通常称为7段译码显示驱动器。常用的7段译码显示驱动器有74LS48,CD4511等

1)数码管简介

数码管是由八只发光二极管组成(其中一只显示小数点)。工作时,要求发光二极管的公共阴极接地。当某一发光二极管阳极加上高电平时,相应的发光二极管导通,这一段(或点)就会发光。若要显示十进制数的十个数码0~9,只要在相应的字段阳极上加上高电平即可。例如,要显示5时,则应在a,c,d,f,g各段

阳极上加上高电平。为限制每个发光二极管的电流,可在它们的公共阴极上串联一只300Ω左右的限流电阻。

2)CD4511芯片简介

CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,可直接驱动LED 显示器。CD4511的里面有上拉电阻,可直接或者接一个电阻与七段数码管接口。其详细参数请查看相关的数据手册,不再赘述,其引脚图见附录2。

CD4511也是一种BCD-7段显示译码器,它属于CMOS 器件,高电平输出电流可达25mA 。该器件用于驱动共阴极7段LED 数码管。

3)限流电阻的选择,数码管点亮的电流值一般为3mA 左右,正常工作电流范围为3~10mA ,超过10mA 的话会烧坏数码管。一般情况下红色的发光二极管点亮是的压降值是1.7V ,故限流电阻的大小为

Ω

=-=

1100~33001.0~003.07

.1Vcc R

在本设计中需要数码管较亮的显示,选取Ω300的电阻

4)基本电路图

选用共阴极数码管,对于 CD4511 ,它与数码管的基本连接方式如下图6 :

图6 CD4511与数码管的基本连接方式

2.3.4 校时单元电路设计

当重新接通电源或走时出现误差时都需要对时间进行校正。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。校“分”、“时”的原理比较简单,采用加速(校时电路)校时。

对校时电路的要求是 :

●在小时校正时不影响分和秒的正常计数。

●在分校正时不影响秒和小时的正常计数。

校正电路如下图6所示,本校准电路由基本RS触发器和“与”非门组成,基本RS触发器的功能是产生单脉冲,还起到了防抖动作用。未拨动开关J1时,“与非”门U2B的一个输入端接地,基本RS触发器处于“1”状态,这是数字钟正常工作,“分”进位脉冲能进入“分”计数器。拨动开关J1时,“与非”门U6B 的一个输入端接地,于是基本RS触发器转为“0”状态。秒状态可以直接进入“分”计数器,而“分”进位脉冲被阻止进入,因而能较快地校准分计数器的计数值。

校准后,将校正开关恢复原位,数字钟继续进行正常计时工作。小时的校正同理。

图7 校正电路图

2.3.5整点报时的设计

一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。

按题目要求,本设计利用一个八输入与门,当分秒为59分59秒时,控制蜂

鸣器响,电路如图8所示:

图8 整点报时的设计的电路图

1)选蜂鸣器为电声器件,蜂鸣器是一种压电电声器件,当其两端加上一个直流电压时就会发出鸣叫声,两个输入端是有极性的,其较长引脚应与高电位相连,图8的三极管时为了驱动蜂鸣器。

2)三极管S8050的参数参见其数据手册,引脚图见附录。

三级管集电极用22Ω电阻作用是限制电流,基极的1KΩ电阻有三个作用:一是避免从数字电路中拉电流过大,二是保证基极有足够的驱动能力,三是避免数字电路实际不为“0”电平时基极能够截止。

三.系统仿真

本设计在Multisim 10软件进行仿真。把上述各个部分的组合到一起,注意:仿真过程中设计的秒脉冲不足以触发74ls161进行计数,所以在multisim中用函数信号发生器代替石英晶体振荡器发生的秒脉冲进行仿真,便可以显示结果。并且multisim中仿真时间与实际时间的有差别,对电路总体进行仿真时,发现仿真过程中秒的跳转要很长时间,跟实际中的1s中差距很大,但是秒计数脉冲是由1HZ的函数发生器产生的,后来了解到这是由于multisim中仿真步进时间设置的关系,仿真时间与实际时间并不是同步的,这并不会影响实际焊接电路后的计数时间,也就是说实际焊接后秒的跳转仍然是设定的1s。

总仿真图12所示

仿真结果符合实际结果,并达到了设计的基本要求与扩展功能。所以设计方案合理。

U26

74LS161D

图12 设计总电路图

四.电路安装、调试与测试

4.1电路安装焊接

把器件买回来后,先检测各个器件的好坏,然后再焊接。焊接前注意各个芯片(座子)的布局。合理利用万用板的空间,一般按照从左到右,从上到下的,的原则。焊接时从按照低到高的原则进行,如可以先从电阻等较低的器件开始,最后焊接数码管等较高的器件。在焊接电路时,首先把每一个元件固定在电路板上,充分考虑放置后接线是不是有影响,然后固定元器件。对于集成芯片,还要接电源,接地。根据每一个芯片的引脚图,确定输入和输出,然后连接。在焊接的过程中,务必做到仔细认真,小心谨慎。不要漏到每一个接点,焊接点与焊接点直接最容易短接,因此在焊接完每一个器件之后必须要用万用表进行测量,以防短接。

(1)芯片的布局

不仅要讲求美观更要讲求电路连接的方便性。首先选定地线和电源正极线,然后规划大体芯片布局,从上到下逐级布局,最上面放置数码管与限流电阻,下一排放置CD4511译码芯片,第三排放置74LS161计数器,第四排放置各种与非门。最后根据电源线的分布以及芯片电源引脚以及其他需要接电源线的引脚进行合理调整,争取连线最短最少。

(2)合理利用万能版

仿真的时候我们可以把很多线连载一个小小的节点上,但是实际焊万能板的时候却不能这样,因为每个导线或者芯片的引脚都是有一定体积的,焊接之前必须充分考虑到这一点。

(3)标记芯片管脚

实际上在万能板上焊接东西是一个比较麻烦的也考验焊接者耐心的事情,但是我们稍稍对板子做一些优化就能简化我们焊接的过程。比如固定好每块芯片的管座以后在管脚旁边标好引脚的标号,这样能够帮助我们更加准确的把每根导线焊道它该焊到的地方,同时也减少了我们看电路图纸的频率,节约了时间,减小了错误焊接的概率。

(4)合理安排焊接顺序

整个数字钟最核心最基础的部分应当是秒脉冲的产生了。如果秒脉冲发生器没有连接号,整个电路就不会有任何结果。所以首选选择焊接秒脉冲发生器。之后再按照设计的总体电路图,从底层开始逐层连接。

必须注意的是,焊接时一定要按各个部分分开来焊接,就本设计来说,先焊秒脉冲模块焊接好之后必须接到示波器上观察波形。接着焊接秒计数部分,焊接完后把秒脉冲接到74LS161的脉冲端,接通电源,观察能否按要求计数,若有问题及时调试。接下来按同样的方法焊接分计数和时计数,并按同样的方法测试。最后焊校时和整点报时模块。

电路焊接完成后的实物图见附录。

4.2电路的调试

电路焊接完成并不意味着就会出现正确结果。连接完成通电之后往往还是会出现很多的问题。这时候要首先要从硬件连接上检查,排查有没有引脚接错位置,同时使用万用表测量是否连接完好。

4.2.1数码管的调试

在数字电子钟有用到6个显示译码管。测量好坏是用万用表,把万用表打到二极管的档位,黑表笔接到数码的接地端,然后依次把红表笔接到每一个端的端子处,看是否亮。用到的五个发光二极管的测试方法也是用同类方法。

4.2.2各个部分的调试。

必须严格按照数据手册上的管脚图进行接线。为避免混淆,在本次焊接线过程中,我先接各个器件的高电平(红线),然后接地线(黑线),再接各个端子这样不容易出错。

在电路安装环节由于是焊接一部分调试一部分,故本环节应与上一环节同步进行。

1)脉冲部分调试

这部分接完后接到示波器上发现没有任何波形,检查几次线路没有问题,最

后发现原来根本没有把芯片查到底座上。

2)计数电路部分

(1)秒计数电路部分

这部分是整块电路的第一部分,刚接完线后,接入信号发生器,结果发现根本不计数,经检查CD4511的LT

LE,,BI三个端子没有接,接上之后开始计数但发现不能计满六十,有时也会出现乱码的情况,经过检查,分析把74LS161的A,B,C,D 接反了,还有在两根线的接触点没有焊接好。解决上述问题后顺利得到了结果即:秒计数器的个位能准确以十进制形式计数;秒计数器的十位也能准确以六进制的形式计数。当秒计数器的个位计数到9后自动向秒计数器的十位计数。

(2)分计数电路部分

这部分的调试电路与秒计数器的电路一样,在调试中不同的是秒计数电路的个位计数器74LS161的2脚接入秒计数十位的清零1脚,而分计数电路的个位计数器74LS161的2脚本该接校时电路,但是由于校时电路作为最后调试的电路,所以在调试中74LS161的2脚直接与秒计数十位的清零1脚相连。有了接秒计数的经验这部分接完后没有问题,计数正常。

(3)小时计数部分

这部分电路较复杂,在第一次焊接完成后的调试显示中,发现小时的十位没有变化,经过分析、检查发现把非门的输入输出接反了。

对于调试的结果是:这部分的结果与秒计数电路部分的结果一样。

3)校时电路部分

在整个电路的设计中,需要用到两个校时电路,两个校时电路的功能相同。这部分在本次焊接电路中是我调试时间最长的一部分。刚开始接的是点触式开关,最后经过查资料分析,换成了锁存式开关,最后调试正确。

调试的结果是:当开关断开时,分计数电路,小时计数电路正常计数,当开关闭合时,校时电路进行校时。

4)整点报时部分

这部分在买器件时没有7输入的与门只好买成了八输入的与非门而在接线时忘了这一点,没有接非门导致报时电路的二极管和蜂鸣器都不正常,最后检查出来后在59:59时蜂鸣器开始响起,发光二极管被点亮。

4.2.3总电路的调试

由于本电路布线较为复杂,调试过程一定注意小心线路不要被拉断。接上5V 电源后开始计数,观察秒脉冲是否有变快,若变快适当调节滑动变阻器。通过按校时开关看校时是否正常,当校时到59:59看发光二级管是否被点亮,蜂鸣器能否发出声音。

4.3 电路测试

4.3.1功能测试

经测试能实现计时,校时,整点报时等功能

4.3.2性能测试

1)与实际的60秒相对应,观察本时钟的准确性。

经测试基本与标准的60一致

2)通过校时核对能否校时,并在校时中注意校时按钮是否有防抖功能。

经测试能够校时且具有防抖效果。

五、结论

经测试之后,电路可以实现设计要求,可以实现数字钟的基本功能,比如计数,如图,同时多功能模块校时功能和报时功能都可以使用,如图24。基于仿真结果以及焊接线路的通电的结果,可以认定,此次多功能数字钟的设计是成功的。

六、参考文献

[1]康华光电子技术基础---模拟部分(第五版)[M]北京:高等教育出版社,2005,7

[2]康华光电子技术基础---数字部分(第四版)[M]北京:高等教育出版社,1998,7

[3]阎石数字电子技术[M]北京:高等教育出版社,2000,5

[4]杨文霞等数字逻辑电路[M] 北京:科学出版社,2007,3

[5]袁桂慈电工电子技术实践教程[M] 北京:机械工业出版社,2007,6

[6]王冠华Multisim10电路设计及应用[M] 北京:国防工业出版社,2008,6 [6]互联网https://www.docsj.com/doc/683832145.html,等

七、总结及体会

说长不长,说短也不短的三周就这样结束了,看着自己设计出来的结果心里有种说不出来的高兴。

通过本次设计与制作,无论是从获得的知识,学习的方法,社会的体验,还是做人的道理等各个方面来说,这都给我们上了深深的一课。现就结合我个人的体会对此做一下总结:

从一页纸上的方框图到最后焊接出来的电路板中间有太多太多的过程。

当拿到课程设计题目的时候,第一步要根据设计要求,思考原理以及实现方案。第二步将整体的设计分成各个子模块,然后一个模块一个模块的进行设计,最后将各个子模块整合在一起进行整体仿真。第三步要根据自己所选定的方案确定选用那些元器件,然后查阅相应芯片的资料,掌握其引脚分布以及用法。整个仿真过程中必须仔细认真,而且要有足够的耐心,不厌其烦的进行测量校正修改。直到得到预想的结果。

焊接过程中,要严格根据自己的仿真图逐层逐步的进行,防止出现漏焊或者焊接混乱的现象发生。第一步要对整体的布局做规划,不仅要美观,更要方便焊接。第二步,焊接过程中必须小心谨慎,在讲求美观的同时,更要保证焊接点可靠。第三步便是调试过程了,调试过程中出现的问题,要从原理分析找出问题的大概位置,然后对电路连接进行仔细检查。

整个制作过程都是轻松愉快的也是痛苦的。同时在焊接过程中比较仔细认真所以出现的问题不多,每次出现了问题也都是很认真仔细的去排查,最终将整个数字钟的设计基本完成。在以后的相关设计制作中,必须更加仔细认真严谨的去对待。

经过这段时间的课程设计,我学到了许多东西,对课本上的内容的理解加深了印象,还记得在考模电的那种纠结,为了背会直流稳压电源各部分作用而绞尽脑汁,最后还是没有记住,而这次通过设计一个简单的直流稳压电源就对这部分内容记得如此清楚,书上只是大概提到的东西没想到再设计也是如此的重要还有数555定时器的应用、数码管的限流电阻的大小、开关电路的防抖设计等在本次设计学会了一种学习的态度:严谨!什么东西都有一个为什么,当老师问道为什么要在数码上加那么大的电阻时,根本就不知道为什么,为什么要选

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

数字电子钟设计说明

华南农业大学 电子线路综合设计 数字电子钟 班级:14电气类8班组别:4 指导教师: 2016年月

电子数字钟是一种用数字电路技术实现时、分、秒计时的装置,比机械式时钟具有更高的精确性。本次课程设计的电子数字钟,具有以下功能:用24进制,从00开始到23后再回到00,各用2位数码管显示时、分、秒(如23:52:45);可实现手动或自动的对时、分进行校正;计时过程具有报时功能,当时间到达整点前10秒进行报时,蜂鸣器响1秒停1秒地响5次。整个电路设计主要包括秒信号产生电路、时分秒计数电路、译码显示电路、时分的校正电路以及整点报时电路。 秒信号产生电路由石英晶体振荡器和分频器实现,将此信号接到秒计数器的信号输入端,在秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示在数码管。整点报时电路由计时电路的输出状态产生脉冲信号送至蜂鸣器实现报时。校时电路加上一个脉冲送到时分计时器电路从而实现时和分的校整。 为了更好的完成本次课程设计,我们对题目进行了分析讨论,参考了很多相关的资料,同时考虑到实验室能提供的设备仪器及元件,确定了初步的设计方案;经过多次软件仿真,确定并完善了最终的设计方案。根据设计方案进行焊接、电子仪表检查、调试并测量电路的工作状态,排除电路故障,调整元件参数,改进电路性能,使之达到设计的指标和要求,做出成品。 关键词:晶体振荡器CD4060 CD4511 74LS90

1系统概述 (1) 1.1 设计任务和目的 (1) 1.2系统设计思路与总体方案 (1) 1.3设计方案选择 (1) 1.4总体工作过程 (2) 1.5各功能模块的划分和组成 (2) 2电路系统设计与分析 (4) 2.1秒信号的发生电路 (4) 2.2时、分、秒计数电路 (5) 2.3译码显示电路 (6) 2.4时、分校正电路 (7) 2.5整点报时电路 (8) 3电路的安装与调试 (9) 3.1安装调试的步骤 (9) 3.2电路软件仿真调式 (9) 3.3电路焊接及实物调式 (10) 3.4实验过程可能存在的问题 (10) 4实验数据和误差分析 (11) 5实验结论及分析 (11) 6实验收获、体会和建议 (12) 参考文献 (13) 附录1元器件清单明细表 (14) 附录2总原理接线图 (15) 附录3 电路焊接实物图 (16) 致 (17)

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

数字电子钟--设计加详细说明(全)

中国……….. 电子技术课程设计总结报告题目:数字电子钟 学生姓名: 系别: 专业年级: 指导教师: 年月日

一、设计任务与要求 1、用单片机设计一个数字电子钟,采用LED数码管来显示时间。 2、显示格式为:XX:XX:XX,即:时:分:秒。 3、时间采用24小时制显示, 4、设置一个按键用于时间显示方式的切换,能进行时间的调整,可暂停时间的变动。.. 二、方案设计与论证 图1 系统整体框图 1、单片机芯片选择方案 方案一:AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器。主要性能有:与MCS-51单片机产品兼容、全静态操作:0Hz~33Hz、三级加密程序存储器、32个可编程I/O口线、三个16位定时器/计数器、八个中断源、全双工UART串行通道、掉电后中断可唤醒、看门狗定时器、双数据指针、掉电标识符、易编程。 方案二:AT89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes 的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM)。主要性能有:兼容MCS51指令系统、32个双向I/O口、256x8bit内部RAM、3个16位可编程定时/计数器中断、时钟频率0-24MHz、2个串行中断、可编程UART串行通道、2个外部中断源、6个中断源、2个读写中断口线、3级加密位、低功耗空闲和掉电模式、软件设置睡眠和唤醒功能。 从单片机芯片主要性能角度出发,本数字电子钟单片机芯片选择设计采用方案一。 2、数码管显示选择方案 方案一:静态显示。静态显示,即当显示器显示某一字符时,相应的发光二极管恒定导通或截止。该方式每一位都需要一个8 位输出口控制。静态显示时

多功能数字钟设计

摘要 本实验是利用QuartusII 7.0软件设计一个多功能数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。 关键字:QuartusII、数字钟、多功能、仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board. In addition, additional functions like displaying and resetting the week and setting alarm make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (7) 3)动态显示模块 (9) 4)校分与校时模块 (10) 5)清零模块 (11) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (12) 1)星期模块 (12) 2)闹钟模块 (13) 五.总电路的形成 (16) 六.调试、编程下载 (17) 七.实验感想 (17) 八.参考文献 (20)

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

数字电子钟设计说明书概论

目录 1 数字电子钟设计任务、功能要求说明及总体方案介绍 (1) 1.1设计任务 (1) 1.2总体方案介绍及工作原理说明 (1) 1.2.1 数字电子钟硬件与软件原理框图 (1) 1.2.2 单片机的基本结构 (2) 1.2.3 工作原理介绍 (3) 2 数字电子钟的软件设计 (5) 2.1KEIL软件介绍 (5) 2.2单片机资源使用说明 (5) 2.3软件系统各模块功能介绍 (6) 2.4电子钟程序流程图 (6) 2.5数字电子钟软件系统程序清单 (11) 3 数字电子钟的仿真分析 (12) 3.1系统的组成及使用说明 (12) 3.2仿真结果 (12) 3.3设计课题的仿真调试 (12) 3.4误差分析 (12) 4 数字电子钟硬件系统的设计 (13) 4.1硬件原理框图 (13) 4.2设计课题硬件系统各模块功能简要介绍 (13) 4.2.1 AT89S52单片机原理简介 (13) 4.2.2 9012 PNP三极管 (16) 4.2.3 四位一体数码管 (16) 4.3数字电子钟PCB图 (18) 4.4电路的硬件调试 (18) 4.5元器件清单 (18) 5 设计总结 (20) 5.1使用说明 (20) 5.2设计结论 (20) 5.3心得体会 (20)

5.4教学建议 (21) 结束语 (22) 致谢 (23) 参考文献 (24) 附录A 电路原理图 (25) 附录B 数字电子钟PCB图 (26) 附录C PROTUES仿真原理图 (27) 附录D 数字电子钟元器件布局图 (28) 附录E 数字电子钟实物图 (29) 附录F 程序清单 (30)

数字钟课程设计

摘要本次课程设计的主题是数字电子钟。干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发蜂鸣器实现报时。 数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED显示的时间进行调节,以上两部分组成主体电路。通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路。本次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显示,时、分校时,整点报时等功能。 关键字:数字时钟,振荡器,计数器,报时电路

目录 1 绪论 0 1.1课题描述 0 1.2设计任务与要求 0 1.3基本工作原理及框图 (1) 2 相关元器件及各部分电路设计 (2) 2.1相关主要元器件清单 (2) 2.2 六十进制“秒”计数器设计 (3) 2.3 六十进制“分”计数器设计 (4) 2.4 二十四进制计数器设计 (4) 2.5 秒脉冲电路设计 (5) 2.6整点报时电路设计 (6) 3 总体电路图 (7) 总结 (8)

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

单片机课程设计-电子钟

中北大学 单片机课程设计说明书 数字钟设计 1 设计任务与要求 (1)

1.1设计任务 (1) 1.2设计要求 (1) 2单片机简介 (2) 2.1单片机的发展历程 (2) 3系统设计思路和方案 (3) 3.1系统总体方案 (3) 3.2硬件简介 (3) 3.2.1硬件选择 (3) 3.2.2 51单片机的构成 (4) 3.2.3 STC89C52RC引脚功能说明 (5) 3.2.4 LED简介 (6) 3.3 Keil调试 (7) 4、系统实物图 (9) 5、课程设计体会 (9) 参考文献 (10) 附录A (11) 附录B (13) 附录C (14)

1 设计任务与要求 1.1设计任务 本课题应完成以下设计内容: 1)硬件设计 设计数字钟的电路原理图,用PROTEL绘制硬件电路。制作实物。 2)软件设计 (1)时、分、秒的设置及显示; (2)画出程序框图; (3)调试与分析。用PROTEUS仿真。 3)课程设计说明书 1.2设计要求 本课程设计的基本要求是使学生全面掌握单片机控制系统设计的基本理论,熟悉掌握MCS-51 系列单片机的编程方法,具体要求:本例利用AT89C51的定时器和6位7段数码管,设计一个电子时钟。显示格式为“XX XX XX”,由左向右分别是:时、分、秒。

2单片机简介 2.1单片机的发展历程 单片机是微型计算机的一个重要分支,也是一种非常活跃和颇具生命力的机种,特别适用于工业控制领域。1971年微处理器研制成功不久,就出现了单片机,但最早的单片机是1位的,处理能力有限。单片机的发展共分四个阶段:第一阶段是初级阶段,功能非常简单;第二阶段是低性能阶段, 16位定时器/计数器,片内ROM、RAM容量加大,直到现在仍被广泛应用,是目前应用数量较多的单片机。、32位单片机推出阶段,以满足不同的用户需要。纵观单片机几十年的发展历程,单片机的今后发展方向将向多功能、高性能、高速度、低功耗、低价格、外围电路内装化以及内存储器容量增加和FLASH存储器化方向发展。 2.2实用价值与理论意义 在单片机模块里比较常见,数字时钟是一种用0数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更高的使用寿命,新词得到了广泛的应用。 数字时钟是采用数字电路实现对时、分、秒数字显示的计时装置,广泛用于个人家庭、车站、码头、办公室等公用场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字时钟的精度远远超过老式钟表,钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。因此研究数字时钟及扩大其应用有着非常现实的意义。

数字钟应用课程设计说明书

数字钟应用课程设计 说明书 1、数字钟原理设计 1.1芯片介绍 本科设设计的数字钟主要应用到74ls90芯片的计数功能,通过辅助电路完成六十进制和十二进制计数,从而实现数字钟的功能。74ls90是包含一个二分频和五分频的计数器,其逻辑功能键表1。 表1 74ls90逻辑功能 用74ls90还能实现十进制计数,把Q0接到五进制CP端即可,如图1。从CP0端输入脉冲信号输出即为8421码十进制计数。 图1 用74ls90实现十进制计数 1.2单元电路原理 1.2.1脉冲信号的产生 这里用到的是用555定时器设计的多谐振荡器,多谐振荡器的优点是在接通

电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。而用555定时器设计的多谐振荡器也有很多优点,由于555定时器部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。 接通电源后,电容C2被充电,当V C 上升到2/3V CC 时,使输出电压为低电平, 同事放电三极管T导通,此时电容C2通过R B 和T放电,V C 下降。当V C 下降到2/3V CC 时,V0翻转为高电平。当放电结束后,T管截止,V CC 将通过R A 和R B 向电容器C2 充电,当V C 上升到2/3V CC 时,电路又翻转为低电平。如此周而复始,于是,在电 路的输出端就得到一个周期性的矩形波。 图2 脉冲信号产生电路 脉冲周期T=(R1+R2)C㏑2,取R1=R2=721k,C1=1μf,C2=0.01μf。带入数据计算得T=1s。输出波形占空比=R1/(R1+R2)=1/2。输出波形如图3。

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

多功能数字时钟的设计

多功能数字钟设计与制作 一、引言 中国是世界上最早发明计时仪器的国家。有史料记载,汉武帝太初年间(纪元前104-101年)由落下闳创造了我国最早的表示天体运行的仪器——浑天仪。东汉时期(公元130年)张衡创造了水运浑天仪,为世界上最早的以水为动力的观测天象的机械计时器,是世界机械天文钟的先驱。盛唐时代,公元725年张遂(又称一行)和梁令瓒等人创制了水运浑天铜仪,它不但能演示天球和日、月的运动,而且立了两个木人,按时击鼓,按时打钟。第一个机械钟的灵魂——擒纵器用于计时器,这是中国科学家对人类计时科学的伟大贡献。它比十四世纪欧洲出现的机械钟先行了六个世纪。 第一只石英钟出现在二十世纪二十年代,从三十年代开始得到了推广,从六十年代开始,由于应用半导体技术,成功地解决了制造日用石英钟问题,石英电子技术在计时领域得到了广泛的应用。并取代机械钟做了更精确的时间标准。早在1880年,法国人皮埃尔·居里和保罗·雅克·居里就发现了石英晶体有压电的特性,这是制造钟表“心脏”的良好材料。科学家以石英晶体制成的振荡计时器和电子钟组合制成了石英钟。经过测试,一只高精度的石英钟表,每年的误差仅为 3~5秒。1942年,著名的英国格林尼治天文台也开始采用了石英钟作为计时工具。在许多场合,它还经常被列为频率的基本标准,用于日常测量与检测。大约在 1970 年前后,石英钟表开始进入市场,风靡全球。随着科学的进步,精密的电子元件不断涌现,石英钟表也开始变得小巧精致,它既是实用品,也是装饰品。它为人们的生活提供方便,更为人们的生活增添了新的色彩。在现行情况下根据简单实用强的、走时准确进行设计。而实验证明,钟表的振荡部分采用石英晶体作为时基信号源时,走时更精确、调整更方便。钟是一种计时的器具,它的出现开拓了时间计量的新里程。提起时钟大家都很熟悉,它是给我们指明时间的一种计时器,并且我们每天都要用到它。二十世纪八十年代中国的钟表业经历了一场翻天覆地的大转折。其表现在三个方面: 1)从生产机械表转为石英电子表; 2)曾占据中国消费市场四十多年的大型国有企业突然被刚刚冒起的“组业”

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

简易数字钟设计

信息与电气工程学院 课程设计说明书(2014 /2015 学年第二学期) 课程名称:单片机课程设计 题目:简易数字钟设计 专业班级: 学生姓名: 学号: 指导教师: 设计周数:2周 设计成绩: 2015年6 月25 日

1、课程设计目的 (1)综合利用所学单片机知识完成一个单片机应用系统设计并在实验室实现,从而加深对单片机软硬知识的理解,获得初步的应用经验。 (2)学习A T89C51定时/计数器的原理及基本应用。 (3)掌握多为数码管动态显示方法。 (4)掌握Keil uVision2 IDE的使用方法。【包括项目文件的建立,给项目添加程序件, 编译、连接项目,形成目标文件,运行调试观察结果,多文件的处理,仿真环境的设置。】 (5)掌握Keil C51的调试技巧。【包括如何设置和删除断点,如何查看和修改寄存器的内容,如何观察和修改变量,如何观察存储器区域,并行口的使用,定时器/计数器的使用,串行口的使用,外中断的使用。】 (6)掌握PROTEUS软件使用过程。 2、简易数字钟的要求及软硬件的分析 2.1简易数字钟的设计要求 利用电子电路构成一个简易数字钟,该数字钟电路主要由C51单片机、4位共阳极数码管、时计数、分计数器、蜂鸣器、LED灯、NPN型和PNP型三极管、按键、若干电阻和导线组成。其中电路系统的分计数器采用60进制,时计数器采用24进制,。译码器显示电路将时、分计数器的输出状态通过三个两位共阳数码管显示出来。整点报时电路根据计时系统的输出状态产生一个脉冲信号,用蜂鸣器输出。相对机械钟而言,数字钟能达到准确计时,并显示小时、分钟,同时通过不同按键的不同功能对该数字钟进行小时和分钟调整,也可通过按键来接她通蜂鸣器来发出响声。 2.2数字钟的软件分析 2.1.1数字钟软件的系统分析 系统的软件设计也是工具系统功能的设计。单片机软件的设计主要包括执行软件(完成各种实质性功能)的设计和监控软件的设计。单片机的软件设计通常要考虑以下几个方面的问题:(1)根据软件功能要求,将系统软件划分为若干个相对独立的部分,设计出合理的总体结构,使软件开发清晰、简洁和流程合理; (2)培养良好的编程风格,如考虑结构化程序设计、实行模块化、子程序化。既便于调试、链接,又便于移植和修改; (3)建立正确的数学模型,通过仿真提高系统的性能,并选取合适的参数;

使用Quartus进行多功能数字钟设计

EDA设计 使用Quartus II进行多功能数字钟设计 院系:机械工程 专业:车辆工程 姓名:张小辉 学号: 115101000151 指导老师:蒋立平、花汉兵 时间: 2016年5月25日

摘要 本实验是电类综合实验课程作业,需要使用到QuartusⅡ软件,(Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程)。本实验需要完成一个数字钟的设计,进行试验设计和仿真调试,实验目标是实现计时、校时、校分、清零、保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。 关键字:电类综合实验 QuartusⅡ数字钟设计仿真

Abstract This experiment is electric comprehensive experimental course work and need to use the Quartus II software, Quartus II is Altera integrated PLD / FPGA development software, schematic and VHDL, Verilog HDL and AHDL (Altera hardware description language support) etc. a variety of design input form, embedded in its own synthesizer and simulator can complete hardware configuration complete PLD design process from design entry to). The need to complete the design of a digital clock, and debug the design of experiment and simulation, the experimental goal is to achieve timing, school, reset, keep and the whole point timekeeping and other basic functions, and then download to the smartsopc experimental system debugging and validation. Key words: Electric power integrated experiment Quartus II Digital clock design Simulation

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

相关文档