文档视界 最新最全的文档下载
当前位置:文档视界 › 组成原理复习提纲及试题(附答案)

组成原理复习提纲及试题(附答案)

组成原理复习提纲及试题(附答案)
组成原理复习提纲及试题(附答案)

组成原理复习(附有部分参考答案)

第一章:概论

概念:

SISD,SIMD,MISD,MIMD

* 计算机硬件系统,计算机软件系统,

* Ven Neumann型计算机设计的基本思想

* 计算机的五大部件

* 计算机系统层次结构

* 机器字长,存储容量,运算速度

第二章:计算机硬件基础

串行加法器,并行加法器的概念

第三章:信息编码与数据表示

* 补码,*原码,*反码,*移码小数和整数的表示法及表示范围* 规格化浮点数和非规格化浮点数的表示法

及表示范围和最大正数,最小正数,最大负数,最小负数

* 奇偶校验码

第四章:运输方法和运算器

* 原码,**补码和移码的加减运算及溢出的判别

一位原码乘法,一位补码乘法(校正法,BOOTH算法)

一位原码除法(恢复余数法和不恢复余数法)

* 浮点数的加、* 减、乘、除算法及过程

第五章:存储体系

* SRAM 与DRAM 的区别

* RAM, ROM,PROM ,EPROM EEPROM,FLASH MEMORY的区别

存取时间Ta,存储周期Tc

存储器的层次结构

* DRAM 的三种刷新方法及计算

* 主存储器与CPU 的连接:地址译码和存储器与CPU的连接(字位扩展)

高速存储器:双端口存储器,*多体交叉存储器,相联存储器

*高速缓冲存储器CACHE

* 命中率h,*效率e,*cache/主存系统的平均访问时间Ta

* 主存与cache的地址映射方式

1.直接映射

2.全相联映射

3.* 组相联映射

第六章:指令系统

指令格式

*寻址方式:

* 1.立即寻址,

* 2.直接寻址,

* 3.间接寻址

* 4.寄存器寻址,

* 5.寄存器间接寻址,

* 6.变址寻址,

7.基址寻址

* 8.相对寻址

9.堆栈寻址

* 指令系统设计技术与操作码扩展技术

* RISC,CISC

第七章:控制器

* 控制器的组成与作用

* 指令周期,机器周期,时钟周期的概念及三者之间的关系控制方式:同步控制,异步控制,联合控制的概念

微程序控制器,:

* 概念:*PC,*IR,*AR的作用

* 微操作,微命令,微指令,微周期,微地址,微程序,机器指令与微程序的关系

微程序的设计

* 指令译码器的作用,

* 指令的执行过程

* 主存储器与控制存储器(控存)的作用与区别

水平型微指令和垂直型微指令的区别

* 直接控制法,字段直接编译法,字段间接编译法

* 微程序控制器与硬布线控制器的比较

第八章:

I/O设备编址方式

统一编址,独立编址

主机与i/o设备交换信息的方式:

程序查询方式,程序中断方式,DMA方式,I/O通道方式。I/O处理机方式

考试题型:A卷难度:比往年容易

一. 选择题(30分)

二.计算填空题(20分)

三、计算题(10分)

四、问答题20分)

五.综合题(20分)

考试题型:B卷难度:较A卷难,与往年相当

一. 选择题(20分)

二.计算填空题(20分)

三、计算题(10分)

四、问答题(15分)

五.综合题(35分)

例题:

一、判断题:(对的打“√”,错的打“×”,)

1.根据指令出现的频度来分配操作码的长度原则是使用频度高的指令分配较短的操作码,

而频度低的指令分配较长的操作码。√

2.堆栈是由一些连续存储单元组成的先进后出的存储器。√

3.在定点补码一位除法采用加减交替算法中,不够减商0,恢复余数,并将被除数与商左移

1位;被除数减去除数,够减则商1,余数与商左移一位。×

4.微程序控制器的执行速度较硬联逻辑控制器的速度慢,而且内部结构较规整,易扩充修

改。√

5.设置Cache的主要目的是提高内存的整体访问速度。√

6.V on Neumann型计算机的基本思想是程序存储、程序控制型机器。√

7.在超前进位4位加法器中,每个进位产生只与本位的二个数位及低位所产生的进位有关。

×

8.调入Cache中的数据在主存一定存有副本。√

9.由一些连续存储单元组成的先进先出的存储器称为堆栈。×

10.操作数地址在指令中的寻址方式称为直接寻址。√

11.操作数地址在寄存器中的寻址方式称为寄存器间接寻址。√

12.内存是用来存放机器指令和数据的而控存是用来存放解释机器指令的微程序的。√

13.奇校验码能检验出奇数个位出错,偶校验码能检验出偶数个位出错。×

14.程序可在辅助存储器中直接运行。×

15.CPU执行指令的时间称为指令周期。√

16.带奇校验字符码1001011的校验码是1。√

17.控制存储器的作用是存放机器指令的。×

18.二个无符号二进制数相加,只要产生进位,则溢出。√

19.实现主存地址与cache地址的映射是由硬件自动完成。√

20.采用多体交叉存储器可提高存储器的带宽。√

二. 选择题

1. X= 0.0011,Y= -0.1011,[X+Y]补=(D)

A.0.1110 B. 0.1000 C. 1.1110 D. 1.1000

2.某计算机字长16位,其存储容量是1MB,若按字编址,至少需地址线_ C __根。

A. 16

B.18

C.19

D.20

3.某机器字长16位,主存按字节编制,转移指令采用相对寻址,由两个字节组成,第一字节为操作码字段,第二字节为相对位移量字段。假定取指令时,每取一个字节PC自动加1。若某转移指令所在主存地址为2000H,相对位移量字段的内容为06H,则该转移指令成功转移后的目标地址是( C)。

A.2006H B.2007H C.2008H D.2009H

4.计算机的指令系统是指一台计算机中所有( A )的集合。

A.机器指令B.微指令C.操作系统指令D.符号指令

5.下列寄存器中,程序员不可见的是( D )。

A.存储器地址寄存器(MAR)B.程序计数器(PC)

C.存储器数据寄存器(MDR)D.指令寄存器(IR)

6. 下面哪一组存储器是永久性存储器:( B )。

A.SRAM和硬盘B.ROM和外存

C.DRAM和Cache D.优盘和Cache

7. 下列关于RISC的叙述中,错误的是( A ) 。

A.RISC普遍采用微程序控制器

B.RISC大多数指令在一个时钟周期内完成

C.RISC的内部通用寄存器数量相对CISC多

D.RISC的指令数、寻址方式和指令格式种类相对CISC少

8. 下面有关微指令、指令和微程序、程序的说法中,正确的是( C )。

A.程序就是由微程序构成的,指令就是由微指令构成的。

B.程序是指令的有序集合,而指令是微程序的有序集合。

C.每一条指令就是由一段微程序来解释执行的。

D.每一条指令对应着一条微指令。

9. 下列有关微程序控制器与微指令格式的说法中,正确的是( A )。

A.相对硬布线控制器,微程序控制器的指令功能的修改和扩展比较容易。

B.相对硬布线控制器,微程序控制器的电路不规整,指令执行速度慢。

C.水平型微指令的并行操作能力强,微指令字长很短,微程序长。

D.垂直型微指令的并行操作能力弱,微指令字长比较长,微程序短。

10.指令的寻址方式有顺序和跳跃两种,采用跳跃方式可以实现(D)。

A.堆栈寻址B.程序的条件转移

C.程序的无条件转移D.程序的条件转移和无条件转移

11.计算机中表示地址时使用(A)

A.无符号数B.原码 C.反码 D.补码

12. 原码恢复余数除法算法中,当余数为负数时,执行( C )操作后,可得到新的余数;而在原码不恢复余数除法算法中,当余数为负数时,则要执行( B)操作后,得到新的余数。

A.左移1位,-|Y|

B.左移1位,+|Y|

C.+|Y|,左移1位,-|Y|

D.-|Y|,左移1位,+|Y|

13. 浮点数乘法运算的步骤是( D ):

A.对阶,尾数相乘,阶码相加

B.对阶,尾数相乘,阶码相减,结果规格化,舍入

C.尾数相乘,阶码相减,结果规格化,舍入

D.尾数相乘,阶码相加,结果规格化,舍入

14. 在计算机系统中,表征系统运行状态的部件是(D)。

A.程序计数器B.累加寄存器C.中断寄存器D.程序状态字

15. DRAM是利用极间电容存储电荷来表示‘0’和‘1’信息的,由于电荷的漏电作用,故需(C)。A.增加写入驱动电流;B.增加读出放大器电路;C.定时刷新、再生;D.延长读写时间16.一个指令周期通常由(A)组成。

A.若干个机器周期 B. 若干个时钟周期C.若干个工作脉冲 D. 若干个节拍17. 若一台计算机的字长为8个字节,则表明该机器(C)。

A.能处理的数值最大为8位十进制数 B. 能处理的数值最多由8位二进制数组成

C . CPU一次运算的二进制代码为64位 D. 在CPU中运算的结果最大为2的64次方18.控制器中用于存放指令地址的寄存器是(B)。

A.主存地址寄存器 B. 程序计数器 C. 指令寄存器 D. 标志寄存器

19. X= 0.0011,Y= -0.1011,[X-Y]补=(C.)

A.1.1110 B. 1.1000 C. 0.1110 D. 0.1000

20.16个汉字的机内码需要(B )。

A.16字节B.32字节C.64字节D.8字节

21. 在汉字系统中存在下面几种编码,汉字库中存放的是( B ) 。

A.汉字输入码B.汉字内码

C.汉字交换码D.汉字字模码

22. 对于一个24×24点阵的汉字,在机内存储与交换时所占用的存储空间是( E )个字节。

A.576 B.72 C.24 D.16 E.2 F.1

23.数的机器码表示中,( D )的零的表示形式是唯一的。

A. 原码

B. 反码

C. 补码和原码

D. 补码和移码

24. 微程序控制器中,每一条机器指令通常需( B )。

A. 一条微指令来解释执行

B. 一段微指令编写的微程序来解释执行

C. 一条毫微指令来直接解释执行

D. 一段毫微指令编写的毫微程序来直接解释执行25.微程序控制器中,机器指令与微指令的关系是( B )。

A. 每一条机器指令由一条微指令来执行

B. 每一条机器指令由一段微指令编写的微程序来解释执行

C. 每一条机器指令组成的程序可由一条微指令来执行

D. 一条微指令由若干条机器指令组成

26. 某机采用二级流水线组织,第一级为取指令、译码,需要200ns完成操作;第二级为执行周

期,一部分指令能在180ns内完成,另一些指令要360ns才能完成,机器周期应选( D )。

A.180ns B.190ns C.200ns D.360ns

27.在CPU中用于记录运算结果状态的寄存器称为( D )。

A.主存地址寄存器 B. 程序计数器 C. 指令寄存器 D. 标志寄存器

28.下面三种语言中,(4)既是符号化语言,又是面向机器的语言。( A )

A.机器语言B.汇编语言C.高级语言

.

29.在定点二进制运算器中,减法运算一般通过( D )来实现。

A. 原码运算的二进制减法器

B. 补码运算的二进制减法器

C. 原码运算的十进制加法器

D. 补码运算的二进制加法器

30.下列有关RAM和ROM得叙述中正确的是( A )。

①RAM是易失性存储器,ROM是非易失性存储器

②RAM和ROM都是采用随机存取方式进行信息访问

③RAM和ROM都可用做Cache

④RAM和ROM都需要进行刷新

A. 仅①和②

B. 仅②和③

C. 仅①,②,③

D. 仅②,③,④

31.按冯·诺依曼计算机体系结构的基本思想设计的计算机硬件系统包括( B )。

A.微程序控制器、存储器、显示器、键盘

B.运算器、控制器、存储器、输入设备、输出设备

C.总线、CPU、磁盘、显示器、打印机

D.运算器、主存、缓冲存储器、虚拟存储器、控制器

32.计算机系统的层次结构从内到外依次为(A)

A.硬件系统、系统软件、应用软件

B.系统软件、硬件系统、应用软件

C.系统软件、应用软件、硬件系统

D.应用软件、硬件系统、系统软件

33.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校验的字符码是(C )。

A. 11001011

B. 11010110

C. 11000011

D. 11011001

34.主存贮器和CPU之间增加cache的目的是( A )。

A. 提高CPU访问存储器系统的整体速度

B. 扩大主存贮器容量

C. 增加CPU中通用寄存器的数量

D. 加快CPU访问外存的速度

35.某机器字长16位,主存按字节编制,转移指令采用相对寻址,由两个字节组成,第一字节为操作码字段,第二字节为相对位移量字段。假定取指令时,每取一个字节PC自动加1。若某转移指令所在主存地址为2000H,相对位移量字段的内容为06H,则该转移指令成功转移后的目标地址是( C )。

A.2006H B.2007H C.2008H D.2009H

36.主存贮器和CPU之间增加cache的目的是( A )。

A. 解决CPU和主存之间的速度匹配问题

B. 扩大主存贮器容量

C. 扩大CPU中通用寄存器的数量

D. 既扩大主存贮器容量,又扩大CPU中通用寄存器的数量

37.计算机系统中的机器指令指的是( A )

A.用二进制代码表示的指令

B.用助记符表示的指令

C.用数学符号表示的指令

D.放在控制存储器中的微指令

38.八位二进制补码数的表示范围为( C )。

A. 0~+128

B. 0~+255

C. –128~+127

D. –255~+255

39.相联存储器采用按(B)访问方式,因而速度比普通存储器快。

A.地址 B. 内容 C. 实地址 D. 虚地址

40. 二个补码数相加、减,可能产生溢出的情况是(D)

A.二个数同号 B. 二个数异号

C.相加数异号,相减数同号

D. 相加数同号,相减数异号

42.八位二进制移码数的表示范围为( C )。

A. 0~+128

B. 0~+255

C. –128~+127

D. –255~+255

43.计算机中采用二进制表示数据,下面哪一种原因是错误的:( A )。

A.二进制数的表示精度高

B.二进制数运算规则简单

C.二值状态的电子器件易实现

D.具有逻辑特性,可以进行逻辑运算

三.填空题+

1.按实现方式,控制器分为微程序控制器和硬布线控制器,后者的执行速度比前者快;RISC系统多采用硬布线控制器。

2.存储的信息在加电时不会丢失,断电后会丢失的既能读又能写的半导体器件称SRAM ,而加电时需刷新的半导体器件器件称DRAM ,前者与后者相比,速度快。3.取指周期中从内存读出的信息流为指令,执行周期中从内存读出的信息流为数据。4.主存与cache的地址映射有_ 直接映射__、__全相联映射__、_组相联___三种方式。其中组相连方式适度地兼顾了前二者的优点,又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想。

5.一个机器字长为16位,阶码8位,其中一位符号位,尾数8位,其中一位符号位,阶码和尾数均用补码表示,它能表示的规格化最大数为(1-2-7)×2127,最小数为-1×2127,

最接近0的正数为2-1×2-128,最接近0的负数为-(2-1+2-7)×2-128。6.在控制器中,专用寄存器PC用于指出下条指令地址,IR用于存放当前执行指令的代码;在微程序控制器中,指令译码器的功能是形成解释当前执行的机器指令的微程序入口地址。

7.在微程序控制的计算机中,将由同时发出的控制信号所执行的一组微操作称微指令,执行一条指令实际上就是执行一段存放在控存中的微程序。

8.在浮点数表示中,尾数等于0 和下溢(阶码负溢出)被称为机器零。。

9.16位的整数补码可表示的十进制数据范围为-215~215-1 。

10. 一个机器字长为16位,阶码8位,含一位阶符,尾数8位,含一位数符,阶码和尾数均用

补码表示,它能表示绝对值最大的规格化数的十进制真值为1×2127,绝对值的最小

的规格化数的十进制真值为2-1×2-128。

11.浮点加法运算步骤的第一步应是对阶。

12. 完全水平型微指令,控制字段为16位,则一条微指令最多可同时启动16 个微操作;完全垂直型微指令,定义15种微操作,则微操作码字段最少需要 4 位。

13.CPU从_ 内存___取出一条指令并执行这条指令的时间总和称为_指令周期___。

14.运算器主要由通用寄存器、ALU、标志寄存器、数据寄存器(暂存器)、AR 等部件组成。

15.字符码1001011产生的奇校验位的值为 1 。

17.在组合逻辑控制器中,从逻辑函数的角度来看,控制信号(微操作控制信号)是多种输入信号的函数。

18.浮点数算术加减运算过程中,每次阶码运算后都应该进行尾数相加减。

19.某机字长16 位,CPU地址总线20位,数据总线16位,存储器按字编址。若该机主存采用16K×8位的DRAM芯片(内部为128×128阵列)构成最大主存空间,则共需128 个芯片。若采用异步刷新方式,单元刷新周期为2ms,则刷新信号的周期为 15.625微秒。

20.微程序控制器主要由微地址形成电路、控存微地址寄存器、微指令寄存器等部件组成。

21.微机A、B是采用不同主频的CPU芯片,片内逻辑电路完全相同,若A机的CPU主频为8MHz,B机为12MHz。A机的平均指令执行速度为0.4MIPS, 那么A机的CPU主频周期为0.125 μs, A机的平均指令周期为 2.5 μs,B机的平均指令执行速度为0.6 MIPS。

22.SRAM的存储单元是用双稳态触发器器件来存储信息的,而DRAM则是用MOS 管上的极间电容器件来存储信息的,前者比后者速度快。

23.在原码除法的加减交替算法中,若本次余数为负,商上0 ,求下一位商的办法是,余数先左移一位,再+| 除数Y | 来得到新的部分余数。为加快除法运算的速度,可以采用阵列除法器件。

25.微指令分为__水平____型微指令和__垂直____型微指令,前者并行操作能力强。

26.一个机器字长为16位,阶码8位,其中一位符号位,尾数8位,其中一位符号位,阶码和尾数均用补码表示,它能表示的非规格化最大数为(1-2-7)×2127,最小数为-1×2127 ,最接近0的正数为2-7×2-128,最接近0的负数为-2-7×2-128。

四.计算填空题

1、假设CPU总是从Cache取得数据,某程序在执行过程中访存5000次,其中有100次

访问Cache缺失(未命中),已知Cache的存储周期为10ns,主存的存储周期为60ns。

Cache的命中率为(),Cache/主存系统的平均访问时间为()ns,Cache/主存系统的效率为()。

2、设32位计算机的主存容量为2GB,存储器按字编址;Cache容量256KB,每块16B,

Cache按照4路组相联方式组织,则主存地址()位;其中“标记”字段()位,Cache组地址()位,块内地址()位;主存地址4567H映射到Cache的

()组。

3、设某8

其中,RD为目的寄存器号,MOD为寻址方式码字段,指令第二字为地址、数据或偏移量;源操作数由MOD字段和指令第二字共同确定。除了HALT指令为单字指令外,其他指令均为双字指令;各字段解释如表1。

表1

①指令AND R2,[56H]的功能是将R2寄存器的内容与内存地址56H单元的内容,进行“逻辑与”操作,结果存入R2寄存器,则该指令机器码第一字节为()H,第二字节为()H。

②内存地址的部分单元内容如表2,若(PC)=12H,变址寄存器(SI)=10H,则此时启动

程序执行,将程序执行前三条指令的情况与结果,填写到表3。

表3

一、计算题

一.

设浮点数的格式为:阶码5位,包含一位符号位,尾数6位,包含一位符号位,阶码和尾数

则按上述浮点数的格式:

(1)若(X)10 =15/32,(Y)10= -3.25,则求X和Y的规格化浮点数表示形式。

(2)求[X+Y]浮(要求用补码计算,列出计算步骤)。

二.设有浮点数,x=25×(9/16),y=23×(-13/16),阶码用4位(含1位符号位)移码表示,尾数用5位(含1位符号位)补码表示。

X=0.11110×2-1 M X=0.11110 E X=-0001

【M X】补=0.11110 【E X】移=11111 【X】浮=11111 0.11110

(Y)10=-3.375,(Y)2=-11.011

Y=-0.11011×2+2M Y=-0.11011 E Y=+0010

【M Y】补=1.00101 【E Y】移=00010 【Y】浮=00010 1.00101

(2)(a)对阶:X对向Y,X的尾数右移3位

【X】浮=00010 0.00011 110

(b)尾数相加:

00.00011

+11.00101

11.01000 110

(c)结果规格化:无需规格化

(d)舍入:入1

[X+Y]浮=00010 1.01001

三.设有浮点数,x=25×(9/16),y=23×(-13/64),阶码用4位(含1位符号位)补码表示,尾数用5位(含1位符号位)补码表示。

(1)写出x和y的浮点数表示。

(2). 求真值x×y=?要求写出完整的浮点运算步骤,并要求尾数用补码一位乘法(booth 法)运算。

四.设有浮点数,x=24×(7/16),y=23×(-9/64),阶码用4位(含1位符号位)补码表示,尾数用5位(含1位符号位)补码表示。

(2)写出x和y的浮点数表示。

求真值x×y=?请写出完整的浮点运算步骤,要求尾数用补码一位乘法运算。(6分)五.设有浮点数,x=25×(7/16),y=23×(-9/16),阶码用4位(含1位符号位)补码表示,尾数用5位(含1位符号位)补码表示。

(3)写出x和y的浮点数表示。

(4)求真值x×y=?请写出完整的浮点运算步骤,要求尾数用补码一位乘法运算。

三.问答题

1.。

1.在RR型,RS型,SS型指令中,哪类指令执行时间长?哪类指令执行时间短?

答: SS型指令执行时间长,RR型指令执行时间短。

2.提高存储器速度可采用哪些措施?(至少3种)

答:可采用:cache,多体交叉存储器,双端口存储器,相联存储器等。

3.控制器的主要功能是是什么?

答:取指令,分析指令,执行指令。

4.在微指令控制器中,指令译码器的功能是什么?

答:形成解释当前执行的机器指令的微程序入口地址。

5.什么叫CISC和RISC,它们各有和特征?

答:CISC:复杂指令系统,RISC:精简指令系统。

CISC:指令系统复杂,寻址方式多,种类多,功能强大。多数指令控制器多采用微程序控制器。速度慢。

RISC: 指令系统简单,种类少,指令格式固定。寻址方式少,控制器多采用硬布线系统实现。速度快。

6.CPU的基本组成有哪些?

答:CPU由ALU 和控制器组成。

7.在微程序控制器中,微程序的入口和下条微指令地址是如何形成的?

答:微程序的入口地址由指令译码器的对当前执行的机器指令的译码产生。

8.控制器的基本组成有哪些?

答:PC,IR,控制信号产生电路,指令译码器的,时序电路产生电路等。

9. SRAM,DRAM,ROM,EPROM有何区别?

答:SRAM是静态存储器,

DRAM是动态存储器,需定时刷新,

ROM只读存储器,永久性记忆存储器。内容不可改写。

EPROM是可擦除的只读存储器,信息擦除后再写入。

10.主机与外设交换信息的方式有哪几种?

答:主机与外设交换信息的方式有下列5种方式:

1.程序查询方式

2.程序中断方式

3.DMA方式

4.I/O通道方式

5.I/O处理机方式

11.简述指令操作码的扩展技术的基本方法。

答:采用可变操作码长度格式,操作码的长度随着地址数的减少而增加。另外还要根据指令出现的频度来分配操作码的长度,使用频度高的指令分配较短的操作码,而频度低的指令分配较长的操作码。

12.简述微程序控制的控制器和硬布线控制的控制器的不同及优缺点。

答:硬布线控制器电路复杂。不规整,不易修改和扩充,但执行速度快,多应用与RISC系统;而微程序控制器电路相对规整,易于修改和扩充,但执行速度慢,多应用以CSIC系统中。13.主机与外设交换信息的“程序查询方式”和“程序中断方式”的工作过程各是怎样的?

答:程序查询方式是需CPU通过编程来查询外设状态,当外设准备出现好传输数据状态时,CPU才可与外设交换信息,否则等待外设准备好,或查询下一个外设状态。

程序中断方式是当外设需与CPU交换信息时,向CPU发出中断信号,在CPU执行完当前指令后,并再允许中断的情况及无高级的中断服务在响应的情况下,由中断系统管理自动转入事先设定好的相应中断服务程序处理,去完成信息交换。

14.简述冯·诺依曼体系结构的主要设计思想。

(1)采用二进制表示信息。

(2)计算机的硬件系统由控制器、运算器、存储器、输入设备和输出设备五大部件构成。(3)采用存储程序和程序控制的基本思想,将程序事先存放在存储器中,程序运行时,由控制器自动、高速地从存储器中取出并执行。

15.对比SRAM和DRAM的异同点。

i.相同点:都是半导体随机存取存储器,能够作主存,属于易失性存储器。(1分)

ii.

16. 从计算机硬件组成的角度,谈谈你对计算机工作原理的理解

●计算机工作过程即是执行程序的过程;也是控制器取指令、分析指令、执行指令的循

环往复的过程。

●程序和数据事先由输入设备输入到存储器中,由控制器控制存储器取指令到IR,然后

经过指令译码器译码,分析指令的功能,然后发送微操作控制信号到运算器、存储器

或者IO设备,以完成指令的功能。

●其他类似也可得分。

四.综合题

1.(6分)设某机字长16位,指令格式均为单字指令,每个地址码5位,试采用操作码扩

展技术设计一个指令系统,包含62条双地址指令,30条单地址指令,20条零地址指令;请给

出指令编码示意图

●62

●30

111110×××××(32条中选择30条)

●20

111111××××××××××(1024条中选择20个编码即可)

其他编码方案,只要符合操作码扩展技术都可以

2.某机字长8 位,CPU地址总线20位,数据总线8位,存储器按字节编址,CPU 的控制信号线有:MREQ#(存储器访问请求,低电平有效),R/W#(读写控制,低电平为写信号,高电平为读信号)。试问:

①若该机主存采用64K×1位的DRAM芯片(内部为256×256阵列)构成最大主存空间,则

共需多少个芯片?若采用异步刷新方式,单元刷新周期为8ms,则刷新信号的周期为多少时间?刷新用的行地址为几位?(4分)

②若为该机配备4K×8位的Cache,每块8字节,采用2路组相联映象,试写出对主存地址

各个字段的划分(标出各个字段的位数);若主存地址为03280H,则该地址可映象到的Cache 的哪一组?(6分)

③若用2个16K×4位的SRAM芯片和2个8K×8位的SRAM芯片形成32K×8位的RAM

存储区域,起始地址为0000H,假设SRAM芯片有CS#(片选,低电平有效)和WE#(写使能,低电平有效)信号控制端。

(1)试画出地址译码方案;写出RAM的地址范围。

(2)并画出SRAM与CPU的连接图,请标明SRAM芯片个数、译码器的输入输出线、地址线、数据线、控制线及其连接。

3.某机字长8 位,CPU地址总线20位,数据总线8位,存储器按字节编址,CPU 的控制信号线有:MREQ#(存储器访问请求,低电平有效),R/W#(读写控制,低电平为写信号,高电平为读信号)。试问:

④若该机主存采用64K×1位的DRAM芯片(内部为256×256阵列)构成最大主存空间,则

共需多少个芯片?若采用异步刷新方式,单元刷新周期为8ms,则刷新信号的周期为多少时间?刷新用的行地址为几位?

⑤若为该机配备4K×8位的Cache,每块8字节,采用2路组相联映象,试写出对主存地址

各个字段的划分(标出各个字段的位数);若主存地址为03280H,则该地址可映象到的Cache 的哪一组?

⑥若用2个16K×4位的SRAM芯片和2个8K×8位的SRAM芯片形成32K×8位的RAM

存储区域,起始地址为0000H,假设SRAM芯片有CS#(片选,低电平有效)和WE#(写

使能,低电平有效)信号控制端。

(1)试画出地址译码方案;写出RAM的地址范围。

(2)并画出SRAM与CPU的连接图,请标明SRAM芯片个数、译码器的输入输出线、地址线、数据线、控制线及其连接。

4.某CPU地址总线16位,数据总线8位,CPU 的控制信号线有:MREQ#(存储器访问请求,低电平有效),R/W#(读写控制,低电平为写信号,高电平为读信号)。若用若干个8K×4位的SRAM芯片形成32K×8位的RAM存储区域,起始地址为4000H,假设SRAM 芯片有CS#(片选,低电平有效)和WE#(写使能,低电平有效)信号控制端;试写出RAM的地址范围,并画出SRAM与CPU的连接图(请标明SRAM芯片个数、译码器的输入输出线、地址线、数据线、控制线及其连接)。

四.设某流水线计算机有一个指令和数据合一的cache,已知cache的的读/写时间为10ns,主存的读/写时间为100ns,取指的命中率为90%,数据命中率为80%,在执行指令时,约有1/5指令需要存/取一个操作数,假设指令流水线在任何时候都不阻塞,那么,设置cache后,与无cache比较,计算机的运算速度可提高多少倍?

五.某计算机的字长为16位,存储器按字编址,访内存指令格式如下:

15 11 10 8 7

其中OP是操作码,M是定义寻址方式(见下表),A为形式地址。设PC和Rx分别为程序计

数器和变址寄存器,字长为了16位,问:该格式能定义多少种指令?写出各种寻址方式的有效地址EA的计算式。

M值寻址方式

0 立即寻址

1 直接寻址

2 寄存器间接寻址

3 变址寻址

4 相对寻址

假如该机支持的机器指令格式如下:

操作码(4位) Rs(2位)Rd(2位)

Addr/Disp/Data/X(8位)

五.CPU结构如下图所示,各部分间的连线表示数据通路,箭头表示数据信息传送方向。

主存储器M

+1 通用存器器GR

1.请标明图中A,B,C,D四个寄存器名;

2.简述指令从主存储器取到控制器的数据通路;

1、根据所示的数据通路,画出访存指令LDA R0,((A))对应的微程序流程图,其功能为:((A))→ R0,源操作数采用间接寻址;

2、根据所示的数据通路,画出无条件转移指令对应的微程序流程图:

JMP [PC+Disp] ;功能为:Disp+(PC)→ PC,采用相对寻址。

3、假如两个二进制补码数据X和Y分别放在R0和R1中(8位寄存器的最低4位补0),[X]补= 0.110,[Y]补=1.001,ALU的控制信号‘*’可以实现补码的乘法计算,结果放在R0寄存器中。(1)写出用补码一位乘法计算〔X×Y〕补的计算过程;

(2)请用微程序流程图描述机器指令MUL R0,R1的指令周期,其功能为:(R0)×(R1)→ R0,操作数均采用寄存器寻址。

3、若该机采用微程序控制器,共有28种微操作命令(采用直接控制法),有7个转移控制状态(采用译码形式),微指令格式如下,其中下址字段7位,则操作控制字段和判别测试字段各有几位?控存容量是多少?(用字数×字长的形式表示)

(2

)图1是模型机的结构图。某条指令的微程序流程图如图2所示。其中,J1指根据操作码散转至指令的微程序入口。请写出这二条指令的功能、寻址方式及指令的格式。

图2 微程序流程图

图2 微程序流程图

(3)画出MOV 指令的微程序流程图。采用直接寻址方式,将以A 为地址的主存单元的内容 送至Rd 寄存器。指令格式为:

(4)若该机微指令下址字段为7位,则其控制存储器的地址范围为多少?(2分)

(3)画出ADD 指令的微程序流程图。该加法指令采用立即寻址方式,含义是将寄存器Rd 的内容加上立即数DATA 送到Rd

(4)若该机微指令总共有138条,每条微指令需要一个控存单元,则微指令的下址字段至少需多少位?

1.设某机字长16位,指令格式均为单字指令,每个地址码5位,试采用操作码扩展技术设计一个指令系统,包含62条双地址指令,30条单地址指令,20条零地址指令;请给出指令编码示意图。

3.某8位模型机采用微程序控制器,结构如图1所示。其中MEM为主存,R0~R3是通用寄存器。各部件的控制信号均已标出,控制信号的命名准则是:‘→’符号前的是数据发送方部件,‘→’符号后的是数据接收方部件,并且控制信号中的B表示总线;J1#控制指令译码,其他读写信号具有普通意义。例如:B→DA1表示总线上的数据送入DA1暂存器;ALU→B#表示ALU运算的结果送到总线上(低电平有效),

(1)图1中有22个微操作控制信号,另外3个信号J1#~J3#是用于微指令转移的判别测试条件。在微指令中,控制字段采用直接控制法,判别测试字段采用译码法编码,

下址字段8位,则微指令字长多少位?该模型机的控存容量是多少?

(2)模型机的某条指令的微程序流程图如图2所示,写出该条指令的功能、寻址方式、指令第二字的含义。

(3)写出MEM→DA1微指令必须发送的微操作控制信号。

(4)根据图1所示的数据通路,写出ADD RD,[ADDR]指令的微程序流程图。指令功能为(RD)+(ADDR)→RD,即寄存器RD的内容加内存单元ADDR的内容

送回RD

微操作控制信号

图1 模型机结构框图

计算机组成原理复习要点(复习必过)

计算机组成原理复习要点 题型分布 选择题20分;填空题30分;判断题10分;计算题20/25分;简答题20/15分 第一章概述 1、什么是计算机组成 每章重点内容 输入设备 运算器- f 1 存储器卜 t地1址 输出设备 物理组成 计 算 机 组 成 逻辑组成 设备级组成 版块级组成w芯片 级组成 元件级组成 设备级组成 寄存器级组成 2、诺依曼体系结构计算机的特点 (1)硬件由五大部份组成(运算器、控制器、存储器、输入设备、输出设备) 三扌空希I」鋼二

(3)米用存储程序 所有的程序预先存放在存储器中,此为计算机高速自动的基础; 存储器采用一维线性结构;指令米用串行执行方式。 控制流(指令流)驱动方式; (4)非诺依曼体系结构计算机 数据流计算机 多核(芯)处理机的计算机 3、计算机系统的层次结构 (1)从软、硬件组成角度划分层次结构 操作系统圾 偿统机器级 系统分折级 用户程序级 骰程宇控制器厂睫程庠级 (2)从语言功能角度划分的层次结构 虚拟机:通过软件配置扩充机器功能后,所形成的计算机,实际硬件并不具备相应语言的功能。 第二章数据表示 1、各种码制间的转换及定点小数和定点整数的表示范围 (1)原码: 计算规则:最高位表示符号位;其余有效值部分以2#的绝对值表示。如: (+0.1011)原=0.1011; (-0.1001)原=1.1001 (+1011)原=01011; (-1001 )原=11001 注意:在书面表示中须写出小数点,实际上在计算机中并不表示和存储小数点。原码的数学定义 若定点小数原码序列为X0.X1X2...Xn共n+1位数,贝 X 原=X 当1 >X > 0 X 原=1-X=1+|x| 当0》X>-1 若定点整数原码序列为X0X1X2...Xn共n+1位数,贝 X 原=X 当2n >X > 0 X 原=2n-X=2n+|x| 当0》X>-2n 说明: 在各种码制(包括原码)的表示中需注意表示位数的约定,即不同的位数表示结 果不同,如:

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理答案

第二章运算方法和运算器练习 一、填空题 1. 补码加减法中,(符号位)作为数的一部分参加运算,(符号位产生的进位)要丢掉。 2. 为判断溢出,可采用双符号位补码,此时正数的符号用(00)表示,负数的符号用(11)表示。 3. 采用双符号位的方法进行溢出检测时,若运算结果中两个符号位(不相同),则表明发生了溢出。若结果的符号位为(01),表示发生正溢出;若为(10),表示发生负溢出。 4. 采用单符号位进行溢出检测时,若加数与被加数符号相同,而运算结果的符号与操作数的符号(不一致),则表示溢出;当加数与被加数符号不同时,相加运算的结果(不会产生溢出)。 5. 利用数据的数值位最高位进位C和符号位进位Cf的状况来判断溢出,则其表达式为over=(C⊕Cf)。 6. 在减法运算中,正数减(负数)可能产生溢出,此时的溢出为(正)溢出;负数减(正数)可能产生溢出,此时的溢出为(负)溢出。 7. 补码一位乘法运算法则通过判断乘数最末位Yi和Yi-1的值决定下步操作,当 YiYi-1=(10)时,执行部分积加【-x】补,再右移一位;当YiYi-1=(01)时,执行部分积加 【x】补,再右移一位。 8. 浮点加减运算在(阶码运算溢出)情况下会发生溢出。 9. 原码一位乘法中,符号位与数值位(分开运算),运算结果的符号位等于(两操作数符号的异或值)。 10. 一个浮点数,当其补码尾数右移一位时,为使其值不变,阶码应该(加1)。 11. 左规的规则为:尾数(左移一位),阶码(减1)。 12. 右规的规则是:尾数(右移一位),阶码(加1)。 13. 影响进位加法器速度的关键因素是(进位信号的传递问题)。 14. 当运算结果的补码尾数部分不是(11.0×××××或00.1×××××)的形式时,则应进行规格化处理。当尾数符号位为(01)或(10)时,需要右规。 15. (进位信号的产生与传递逻辑)称为进位链。

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理试题及答案

中国自考人——700门自考课程永久免费、完整在线学习快快加入我们吧! 全国2002年4月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(本大题共15小题,每小题1分,共15分)在每小题列出的四个选项中只有一个选项是符 合题目要求的,请将正确选项前的字母填在题后的括号内。 1.若十进制数为,则相应的十六进制数为( )。 若x=1011,则[x]补=( )。 3.某机字长8位,含一位数符,采用原码表示,则定点小数所能表示的非零最小正数为( )。 4.设某浮点数共12位。其中阶码含1位阶符共4位,以2为底,初码表示;尾数含1位数符共8位,补码 表示,规格化。则该浮点数所能表示的最大正数是( )。 5.原码乘法是指( ) A.用原码表示乘数与被乘数,直接相乘 B.取操作数绝对值相乘,符号位单独处理 C.符号位连同绝对值一起相乘 D.取操作数绝对值相乘,乘积符号与乘数符号相同 6.一般来讲,直接映象常用在( ) A.小容量高速Cache B.大容量高速Cache C.小容量低速Cache D.大容量低速Cache 7.下列存储器中,( )速度最快。 A.硬盘 B.光盘 C.磁带 D.半导体存储器 8.采用直接寻址方式,则操作数在( )中。 A.主存 B.寄存器 C.直接存取存储器 D.光盘 9.零地址指令的操作数一般隐含在( )中。 A.磁盘 B.磁带 C.寄存器 D.光盘 10.微程序存放在( ) A.主存中 B.堆栈中 C.只读存储器中 D.磁盘中 11.在微程序控制方式中,机器指令和微指令的关系是( )。 A.每一条机器指令由一条微指令来解释执行 B.每一条机器指令由一段(或一个)微程序来解释执行 C.一段机器指令组成的工作程序可由一条微指令来解释执行 D.一条微指令由若干条机器指令组成 12.异步传送方式常用于( )中,作为主要控制方式。 A.微型机的CPU内部控制 B.硬连线控制器 C.微程序控制器 D.串行I/O总线 13.串行总线主要用于( )。 A.连接主机与外围设备 B.连接主存与CPU C.连接运算器与控制器 D.连接CPU内部各部件 14.在常用磁盘中,( )。 A.外圈磁道容量大于内圈磁道容量 B.各道容量不等 C.各磁道容量相同 D.内圈磁道容量大于外圈磁道容量 15.在下列存储器中,( )可以作为主存储器。 A.半导体存储器 B.硬盘 C.光盘 D.磁带 二、改错题(本大题共5小题,每小题2分,共10分)针对各小题的题意,改正其结论中的错误,或补充

计算机组成原理答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下: 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下: 4.假设主脉冲源频率为10MHz,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解: 5.如果在一个CPU周期中要产生3个节拍脉冲;T l =200ns,T 2 =400ns, T 3 =200ns,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2 、T 3 的宽度为时钟周期或者是时钟周期的倍数即可。 所以取时钟源提供的时钟周期为200ns,即,其频率为5MHz.;由于要输

出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下: 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:80条指令,平均每条指令由4条微指令组成,其中有一条公用微指令,所以总微指令条数为80? (4-1)+1=241条微指令,每条微指令32位,所以控存容量为:241?32位 7.某ALU 器件是用模式控制码M S 3 S 2 S 1 C 来控制执行不同的算术运算和逻辑操作。下表列出各条指令所要求的模式控制码,其中y 为二进制变量,φ为0或l 任选。 试以指令码(A ,B ,H ,D ,E ,F ,G)为输入变量,写出控制参数M ,S 3,S 2,S l ,C 的逻辑表达式。 解:

计算机组成原理-知识点

课程知识点分析 试题类型: 单项选择2’* 10 = 20’; 填空1’* 15 = 15’; 简答5’* 3 = 15’; 计算题6’* 5 = 30’; 分析论述10’*2 = 20’; 总分100’; 各位同学,在使用这份资料复习时,要注意: 带有红色标记的是重点内容; 尽管很多知识点只有几个字,但是涉及的内容却非常多,比如Cache映像机制;考虑到有些同学考试时有不好的习惯,为了避免麻烦,我在这儿只给大家提纲,请大家对应的看书; 请大家看时,把你特别不明白的地方标出来,发送给lei.z@,我在周一给大家讲解。蓝色标记是之前考过的,应该很重要。大题都在第四章以后--------------------------------------------------------------------- 第一章计算机系统概论 1.1教学内容介绍 (1计算机的发展与应用。 (2计算机系统的层次结构。

(3计算机的特点:快速性、通用性、准确性和逻辑性。 (4计算机的分类方法。 (5性能指标。 1.2重难点分析 (1计算机系统从功能上可划分为哪些层次?各层次在计算机系统中起什么作用? (2冯.诺依曼计算机体系的基本思想是什么?(选择、填空。指令和数据都是用二进制表示的 (3按照此思想设计的计算机硬件系统应由哪些部件组成?各起什么作用? (4如:指令和数据都存于存储器中,计算机如何区分它们? (5衡量计算机性能的主要指标- 机器字长(定义、主频、CPI、MIPS(含义、FLOPS等等 第三章系统总线 3.1教学内容 (1总线及分类。总线是连接各个部件的信息传输线,总线包括:片内总线、系统总线和通信总线。 (2理解总线标准的意义,看看你知道主板上的几种标准总线。 (3总线特性及性能指标: 包括机械特性、电气特性、功能特性和时间特性。 (4总线结构:单总线结构、双总线结构和三总线结构。 (5总线连接方式: 串行传送、并行传送和分时传送。

组成原理试题库 有答案版

《计算机组成原理》试题库 选择题 1.一张3.5英寸软盘的存储容量为______,每个扇区存储的固 定数据是______。 A.1.44MB,512B B.1MB,1024BC.2MB,256BD.1.44MB,512KB 2.机器数______中,零的表示形式是唯一的。 A.原码 B.补码 C.校验码 D.反码 3.在计算机中,普遍采用的字符编码是______。 A.BCD码 B.16进制 C.格雷码 D.ASCⅡ码 4.______表示法主要用于表示浮点数中的阶码。 A.原码 B.补码 C.反码 D.移码 5.程序控制类指令的功能是______。 A.改变程序执行的顺序 B.进行主存和CPU之间的数据传送 C.进行CPU和I/O设备之间的数据传送 D.进行算术运算和 逻辑运算 6.EPROM是指______。 A.读写存储器 B.只读存储器 C.光擦除可编程的只读存储器 D.可编程的只读存储器 7.Intel80486是32位微处理器,Pentium是______位微处理器。 A.16 B.32 C.48 D.64 8.CPU主要包括______。

A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 9.下列数中最大的数是______。 2B.(227)8 C.(98)16D.(152)10 10.以下四种类型指令中,执行时间最长的是______。 A.寄存器—存储器型 B.寄存器—寄存器型 C.存储器-存储器型 D.程序控制指令 11.下列______属于应用软件。 A.操作系统 B.编译系统 C.连接程序 D.文本处理 12.在主存和CPU之间增加cache存储器的目的是______。 A.增加内存容量 B.解决CPU和主存之间的速度匹配问题 C.提高内存可靠性 D.增加内存容量,同时加快存取速度 13.信息只用一条传输线,且采用脉冲传输的方式称为 ______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 14.扩展操作码是_____。 A、操作码字段外辅助操作字段的代码 B、指令格式中不同字段设置的操作码 C、操作码的长度随地址数的减少而增加 D、指令系统新增加的操作码 15.下述I/O控制方式中,主要由程序实现的是______。 A.PPU(外围处理机)方式 B.中断方式 C.DMA方式 D.通道方式

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理复习题及答案

一、填空、选择或判断 1.多核处理机是空间并行计算机,它有___多__个CPU。 2.计算机的发展大致经历了五代变化,其中第四代是1972-1990 年的_大规模和超大规模 集成电路______计算机为代表。 3.计算机从第三代起,与IC电路集成度技术的发展密切相关。描述这种关系的是_摩尔__ 定律。 4.1971年,英特尔公司开发出世界上第一片4位微处理器__Intel 4004_____。首次将CPU 的所有元件都放入同一块芯片之内。 5.1978年,英特尔公司开发的___Intel 8086_______是世界上第1片通用16位微处理器, 可寻址存储器是_1MB______。 6.至今为止,计算机中的所有信息仍以二进制方式表示的理由是__物理器件性能所致___。 7.冯。诺依曼计算机工作方式的基本特点是__按地址访问并顺序执行指令_____。 8.20世纪50年代,为了发挥__硬件设备_____的效率,提出了_多道程序___技术,从而发 展了操作系统,通过它对__硬软资源______进行管理和调度。 9.计算机硬件能直接执行的只有__机器语言_________ 。 10.完整的计算机系统应包括__配套的硬件设备和软件系统______。 11.计算机的硬件是有形的电子器件构成,它包括_运算器__、_控制器_、_存储器__、_适配器_、_系统总线__、__外部设备__。 12.当前的中央处理机包括__运算器_____、_控制器_____、__存储器_____。 13.计算机的软件通常分为__系统软件_______和___应用软件_____两大类。 14.用来管理计算机系统的资源并调度用户的作业程序的软件称为__操作系统_____,负责将_高级____-语言的源程序翻译成目标程序的软件称为___编译系统____。 15.计算机系统中的存储器分为__内存____和__外存______。在CPU执行程序时,必须将指令存放在__内存______中。 16.计算机存储器的最小单位为___位______。1KB容量的存储器能够存储___8192_____个这样的基本单位。 17.在计算机系统中,多个系统部件之间信息传送的公共通路称为_总线_____。就其所传送的信息的性质而言,在公共通路上传送的信息包括__数据__、__地址__和__控制____信息。 18.指令周期由__取指____ 周期和__执行_____周期组成。 19.下列数中最小的数为_______. A (101001)2 B(52)8 C (101001)BCD D(233)16 20.下列数中最大的数为 A ()2 B(227)8 C (96)16D(143)5 21.在机器数中,________的零的表示形式是唯一的。 A原码B补码C反码D原码和反码 22.某机字长32位,采用定点小数表示,符号位为1位,尾数为31位,则可表示的最大正 小数为___C____,最小负小数为___D_____ A +(231-1) B -(1-2-32) C +(1-2-31)≈+1 D-(1-2-31)≈-1 23.某机字长32位,采用定点整数表示,符号位为1位,尾数为31位,则可表示的最大正 整数为___A____,最小负整数为___D_____ A +(231-1) B -(1-2-32)

组成原理复习题

一、单项选择题 1.CPU包括()两部分。 A.ALU和累加器 B.ALU和控制器 C.运算器和控制器 D.ALU 和主存储器 2.CPU与主存合称为()。 A、中央处理器 B、微机 C、主机 D、接口 3.下列数值中与二进制数10000相等的是()。 A.10 B. 10BCD C.0FH D.10Q 4、在8421码表示的二一十进制数中,代码1001表示()。 A、3 B、6 C、9 D、1 5.若某数的二进制编码为0010101,采用奇校验后,该数的校验码为()。 A.10010101 B. 00010101 C.00110101 D.00101001 6.用8位二进制数补码整数的表示范围,其所能表示的数据个数分别为()。 A.-128~127 B.-127~127 C.-127~128 D.-128~128 7、定点数作加减运算时,其符号位与数位一起参与运算的编码是()。 A、原码与补码 B、补码与反码 C、反码与原码 D、原码8.定点数作加减运算时,其符号位与数位一起参与运算的编码是()。 A.原码与补码 B.补码与反码 C.反码与原码 D.原码 9、在浮点数表示中,为保持真值不变,尾数向右移2位,阶码要()。 A、加1 B、减1 C、加2 D、减2 10.浮点数的尾数右移2位,为了保证其值不变,阶码要()。 A.左移1位 B.右移1位 C.左移2位 D.右移2位11.若某数的二进制编码为0010101,采用奇校验后,该数的校验码为()。 A.10010101 B. 00010101 C.00110101 D.00101001 12.用于表示下一条将要执行的指令的地址寄存器为()。 A.AC B.IR C.DR D.PC 13.设[X]补=10000000,则X的真值为()。

计算机组成原理课后答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

组成原理 试题及答案

1. 用ASCII码(七位)表示字符5和7是(1) ;按对应的ASCII码值来比较(2) ;二进制的十进制编码是(3) 。 (1) A. 1100101和1100111 B. 10100011和01110111 C. 1000101和1100011 D. 0110101和0110111 (2) A.“a”比“b”大 B.“f”比“Q”大 C. 空格比逗号大 D.“H”比“R”大 (3) A. BCD码 B. ASCII码 C. 机内码 D. 二进制编码 2. 运算器由许多部件组成,但核心部件应该是________。 A. 数据总线 B. 数据选择器 C. 算术逻辑运算单元 D 累加寄存器。 3. 对用户来说,CPU 内部有3个最重要的寄存器,它们是。 A. IR,A,B B. IP,A,F C. IR,IP,B D. IP,ALU,BUS 4. 存储器是计算机系统中的记忆设备,它主要用来。 A. 存放程序 B. 存放数据 C. 存放微程序 D. 存放程序和数据 5. 完整的计算机系统由组成。 A. 主机和外部设备 B. 运算器、存储器和控制器 C. 硬件系统和软件系统 D. 系统程序和应用程序 6.计算机操作系统是一种(1) ,用于(2) ,是(3) 的接口。 (1) A. 系统程序 B. 应用程序 C. 用户程序 D. 中间程序 (2) A.编码转换 B. 操作计算机 C. 控制和管理计算机系统的资源 D. 把高级语言程序翻译成机器语言程序 (3) A. 软件和硬件 B. 主机和外设 C. 用户和计算机 D. 高级语言和机器语言机 7.磁盘上的磁道是 (1) ,在磁盘存储器中查找时间是 (2) ,活动头磁盘存储器的平均存取时间是指 (3) ,磁道长短不同,其所存储的数据量 (4) 。 (1) A. 记录密度不同的同心圆 B. 记录密度相同的同心圆 C. 阿基米德螺线 D. 随机同心圆 (2) A. 磁头移动到要找的磁道时间 B. 在磁道上找到扇区的时间 C. 在扇区中找到数据块的时间 D. 以上都不对 (3) A. 平均找道时间 B. 平均找道时间+平均等待时间 C. 平均等待时间 D. 以上都不对 (4) A. 相同 B.长的容量大 C. 短的容量大 D.计算机随机决定 8. 中断向量地址是。 A.子程序入口地址 B.中断服务子程序入口地址

计算机组成原理第二版课后习题答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理知识点

计算机组成原理知识点总结 (2015-2016第2学期) 题型: 第一题:简答题(每题8分,共24分) 1、(第1章)(第3章) 2、(第5章) 3、(第9章) 第二题:分析题(每题10分,共20分) 1、(第7章) 2、(第8章) 第三题:计算题(每题10分,共30分,要求有计算过程) 1、(第4章) 2、(第6章) 3、(第9章)(第3章) 第四题:设计题(每题13分,共26分) 1、(第4章) 2、(第4章) 知识点总结 第1章 ①计算机系统层次结构:三种编程语言、软硬件分界面 ②计算机五大部件及其功能 ③主存储器、运算器、控制器内部细化结构及各部分功能 ④三个字长的概念 ⑤冯诺依曼计算机特点 第3章 ①总线判优控制:三种集中式优先权仲裁方式 ②总线通信控制:四种方式及其优缺点、异步通信应答方式的三种类型及特点 ③波特率及比特率计算 第4章 ①存储器层次结构:三层的速度容量比较、三层主要解决的问题 ②主存储器的指标:容量的表示、速度的两个指标 ③RAM的分类及两者的区别、DRAM三种刷新方式及其相关计算 ④存储器的扩展:两种基本扩展方式的连线,画图,设计 ⑤汉明码的编码及纠错过程 ⑥低位交叉存储器的原理及其优点 ⑦cache写操作的两种方法及其特点 ⑧cache地址映射三种方式:原理、地址分段、判断命中、优缺点、主存缓存系统中主存地址格式设计

⑨cache平均访问时间、效率计算 ⑩磁记录原理、磁记录方式 (11)硬盘存储器的结构 (12)硬盘存储器参数计算:容量、寻址时间、数据传输率、道密度、位密度 (13)CRC码的编码与纠错过程 第5章 ①I/O设备编址方式及其特点 ②I/O设备与主机信息传送的控制方式:程序查询、程序中断、DMA及特点 ③显示设备分辨率、灰度级、VRAM的计算 ④汉字处理:输入码、内码、字形码(点阵) ⑤I/O接口的功能 ⑥程序查询方式的工作过程 ⑦程序中断方式的接口电路:中断请求触发器、中断屏蔽触发器、排队器、向量地址形成部件 ⑧响应中断的条件和时间 ⑨中断服务程序流程:单重中断和多重中断的区别 ⑩DMA周期挪用的三种情况 (11)DMA接口的结构 (12)DMA接口的工作过程 (13)接口的相关计算 第6章 ①定点数与浮点数:概念、表示方法、表示范围、相关计算 ②定点数乘法:原码一位、原码两位、BOOTH算法 ③定点数除法:恢复余数、加减交替 ④浮点数加减运算的步骤 ⑤浮点数格式设计 第7章 ①指令格式:操作码(长度固定、可变、扩展操作码)、地址码(不同地址码的含义、特点)、指令字长 ②数据才存储器中的存放方式:存放顺序、边界对准 ③指令寻址两种类型 ④数据寻址10种类型:概念、特点、EA的计算、寻址范围的计算、堆栈寻址 ⑤指令格式设计:操作码、寻址特征、地址码长度 ⑥RISC CISC的概念 第8章 ①CPU的功能 ②CPU寄存器:可见、不可见 ③指令周期的划分 ④指令流水的影响因素:三种相关及其解决方案 ⑤流水线性能参数计算

计算机组成原理练习题答案

一、选择题 1、完整得计算机系统应包括运算器、存储器、控制器。 一个完整得计算系统应该就是:硬件系统与软件系统,硬件系统应该包括运算器,控制器,存储器,输入设备与输出设备,软件系统包括系统软件与应用软件、而您给得答案中B与D就是可以排除得,也就就是不能选,A与C两个中A得可能性最大,答案只能选A、 3、冯、诺依曼计算机工作方式得基本特点就是按地址访问并顺序执行指令. 4、移码表示法主要用于表示浮点数中得阶码。 5、动态RAM得刷新就是以行为单位得。 8、在定点运算器中产生溢出得原因就是运算得结果得超出了机器得表示范围。 10、在指令得地址字段中,直接指出操作数本身得寻址方式,称为立即寻址. 11、目前得计算机,从原理上讲指令与数据都以二进制形式存放. 13、计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”得概念,最早提出这种概念得就是冯、诺依曼。 16、在CPU中,跟踪后继指令地址得寄存器就是程序计数器。 20、系统总线中地址总线得作用就是用于选择指定得存储单元或外设。 21、计算机中得主机包含运算器、控制器、存储器。 23、原码一位乘运算,乘积得符号位由两个操作数得符号进行异或运算. 24、对于真值“0”表示形式唯一得机器数就是移码与补码。 25、若[X]补=0、0100110,则[X]反= 0、0100110。--x为正数 26、在CPU中,存放当前执行指令得寄存器就是指令寄存器。 保存当前正在执行得指令得寄存器称为(指令寄存器)。 指示当前正在执行得指令地址得寄存器称为(程序计数器或指令计数器)。 27、下列编码中通常用作字符编码得就是ASCII码。 ASCII ASCII(American Standard CodeforInformationInterchange,美国信息互换标准代码)就是基于拉丁字母得一套电脑编码系统.它主要用于显示现代英语与其她西欧语言。它就是现今最通用得单字节编码系统,并等同于国际标准ISO/IEC646。28、在下列存储器中,半导体存储器可以作为主存储器. 30、在CPU中跟踪指令后继地址得寄存器就是PC。 31、EPROM就是指光擦除可编程得只读存储器。

相关文档
相关文档 最新文档