文档视界 最新最全的文档下载
当前位置:文档视界 › 数字智力竞赛抢答器的设计

数字智力竞赛抢答器的设计

数字智力竞赛抢答器的设计
数字智力竞赛抢答器的设计

电子技术综合设计报告

题目:数字智力竞赛抢答器的设计

院(系):机械与电子工程学院

专业年级(班):电信15XX 学生:XXXXXX 学号: 2015XXXXXX 指导教师:秦立峰龙燕胡瑾

完成时间: 2018/1/9

摘要

本次课程设计要求做一个数字智力竞赛抢答器,供四组抢答使用,实现5分和10分步进,有加、减和置数功能,具有超时报警功能,

根据题目要求,抢答部分选用一个锁存器存储组别信号并用数码管显示;步进电路选用移位寄存器提供5个或者10个高低电平交替的信号,形成计数脉冲;计分部分选用加减计数器完成计分功能;超时报警部分通过计数器借位输出端控制蜂鸣器报警。经过Proteus仿真,结果表明,电路可以正常工作,并完成所有功能。

关键词:抢答器;分数步进;超时报警;计数器

目录

1 设计目的及要求 (1)

1.1设计目的 (1)

1.2题目与要求 (1)

2 方案设计 (2)

2.1数字智力竞赛抢答器系统的组成框架 (2)

2.2数字智力竞赛抢答器系统的工作原理 (2)

3 电路设计及仿真 (3)

3.1抢答电路 (3)

3.2组别信号电路 (6)

3.3步进电路 (7)

3.4报警电路 (9)

3.5计分电路 (10)

3.6总体电路及仿真结果分析 (11)

4 硬件电路连接与测试 (14)

4.1电路测试 (14)

4.2PCB板 (15)

4.3元件清单 (15)

5 总结 (16)

参考文献 (17)

1 设计目的及要求

1.1 设计目的

抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器由三极管、可控硅、发光管等组成,能通过发光管的亮暗辨认出选手的号码。现在大多数抢答器均使用单片机或数字集成电路,并增加了许多新功能,如选手号码显示、抢答前或抢答后的计时、选手得分显示等功能。

本次课程设计是设计一种采用数字电路制作的可定时四路数显抢答器,它主要采用74系列的常用集成电路,它除了具有基本的抢答功能之外,还具有超时报警的功能、数显功能及不同分值加减计分功能。

本次课程设计要完成全流程的电子线路设计工作。课程设计分为选题、方案设计、电路设计和仿真、焊接实物等几个环节。

(1)通过对数字智力竞赛抢答器进行建模仿真,一方面掌握抢答器设计方法,加深

对相关电路的基本原理的理解,同时训练通过计算机软件(Proteus、Altium

Designer)进行电路辅助设计和仿真的一般方法,熟悉软件的应用;

(2)通过实际元器件的选择、电路焊接,掌握硬件电路从图纸到实物的中间过程,

增强动手能力、实践能力;

(3)通过对数字智力竞赛抢答器的测试,了解和掌握一般硬件电路的测试流程和基

本方法。

1.2 题目与要求

本次课程设计题目的具体要求为:

(1)抢答器可供四组使用,组别键(信)号可以锁存;抢答器指示用数码管或发光

二极管(LED);

(2)计分部分独立(不受组别信号控制),至少用2位二组数码管指示,步进有10

分,5分两种选择,并且具有预置,递增,递减功能;

(3)自动计分(受组别信号控制);当主持人分别按步进得分键、递增键或递减键后

能够将分数自动累加在某组记分器上;

(4)超时报警。

2 方案设计

2.1数字智力竞赛抢答器系统的组成框架

根据题目要求,本设计将数字逻辑信号测试系统分为7个组成部分,各部分的组合如图1所示。

图1 数字智力竞赛抢答器系统框图

2.2数字智力竞赛抢答器系统的工作原理

抢答开关由四个按键组成,按键公共端接地,另一端分别接74LS373寄存器Q0~Q3端。

抢答控制电路由74LS373寄存器、与非门组成。74LS373寄存器存储按键信息,按键信息经与非门输出锁存信号,保持74LS373锁存器信息不被后来抢答的信息干扰。

组别显示由74LS148优先编码器、74LS48、共阴极七段数码管和LED发光二极管构成。74LS373寄存器存储的按键信息直接用LED灯显示,按键信息同时经74LS148编码后,利用74LS48和七段共阴极数码管显示组号。

555脉冲电路是由555定时器构成的多谐振荡器提供脉冲。其中为倒计时电路提供1 Hz脉冲,为步进电路提供47 Hz脉冲。

倒计时报警电路由抢答时间报警电路和回答时间报警电路构成。其中,抢答时间电路由74LS192计数器、74LS48和七段共阴极数码管组成一位十进制减法计数器,可预置0~9秒抢答时间,报警信号由192计数器借位输出端控制;回答时间电路由74LS192、74LS48和七段共阴极数码管组成两位十进制减法计数器,基于本设计,此部分电路预置30秒回答时间,报警信号由192计数器借位输出端控制。

组别信号控制电路由74LS138译码器和非门组成。74LS373寄存器存储的按键信息经74LS138译码器和非门输出组别信号,控制四组计分电路。

步进电路由74LS198移位寄存器和74LS194移位寄存器组成。通过置零和置一,可实现一次提供5个脉冲或者10个脉冲,实现步进5分和10分。步进电路提供的脉冲信号频率由555脉冲电路所提供的脉冲决定。

四组独立计分电路由74LS192、74LS48、七段共阴极数码管构成的两位十进制计数器,可实现清零和预置数。

3 电路设计及仿真

3.1 抢答电路

图2 抢答电路

图3 74LS373引脚图

图2中按键1~4代表各组抢答按钮,按键5是复位键。抢答前,74LS373锁存器的OE????端接地,LE端为高电平,Dn端信息可锁存至Qn端,此时D0、D1、D2、D3端均为高电平;开始抢答后,当D0~D3端有一个变为低电平后,经两个四输入与非门输出的低电平,可使74LS373锁存器保持Qn信息不变,即可锁存抢答信号,若再有键按下,

抢答信号不会再锁存。当按键5按下,LE端变为高电平,可重新锁存信号,即开始新一轮抢答。

表1 74LS373真值表

????Q n

D n L

E OE

1 1 0 1

0 1 0 0

X 0 0 Q n

X X 1 高阻态四个红色LED灯公共端接电源,当有出现低电平信号,即可点亮相应LED灯。

图4 74LS148引脚图

当有按键按下时,对应的Q0~Q3中只有一个输出低电平,为使74LS148编码器能正常编码组号,接线需从“6”端开始接,“7”端接高电平。图四是74LS148引脚图,表二是74LS148真值表。

表2 74LS148真值表

EI 0 1 2 3 4 5 6 7 A2 A1 A0 GS EO

1 X X X X X X X X 1 1 1 1 1

0 1 1 1 1 1 1 1 1 1 1 1 1 0

0 X X X X X X X 0 0 0 0 0 1

0 X X X X X X 0 1 0 0 1 0 1

0 X X X X X 0 1 1 0 1 0 0 1

0 X X X X 0 1 1 1 0 1 1 0 1

0 X X X 0 1 1 1 1 1 0 0 0 1

0 X X 0 1 1 1 1 1 1 0 1 0 1

0 X 0 1 1 1 1 1 1 1 1 0 0 1

0 0 1 1 1 1 1 1 1 1 1 1 0 1

图5 74LS48引脚图

74LS48的A、B、C、D端口分别接74LS148的A0、A1、A2输出和地,使74LS48的相应输出驱动七段共阴极数码管显示组号。

表3 74LS48真值表

LT???RBI????? D C B A BI/RBO?????????? a b c d e f g 1 1 0 0 0 0 1 1 1 1 1 1 1 0

1 X 0 0 0 1 1 0 1 1 0 0 0 0

1 X 0 0 1 0 1 1 1 0 1 1 0 1

1 X 0 0 1 1 1 1 1 1 1 0 0 1

1 X 0 1 0 0 1 0 1 1 0 0 1 1

1 X 0 1 0 1 1 1 0 1 1 0 1 1

1 X 0 1 1 0 1 0 0 1 1 1 1 1

1 X 0 1 1 1 1 1 1 1 0 0 0 0

1 X 1 0 0 0 1 1 1 1 1 1 1 1

1 X 1 0 0 1 1 1 1 1 0 0 1 1

1 X 1 0 1 0 1 0 0 0 1 1 0 1

1 X 1 0 1 1 1 0 0 1 1 0 0 1

1 X 1 1 0 0 1 0 1 0 0 0 1 1

1 X 1 1 0 1 1 1 0 0 1 0 1 1

1 X 1 1 1 0 1 0 0 0 1 1 1 1

1 X 1 1 1 1 1 0 0 0 0 0 0 0

X X X X X X 0 0 0 0 0 0 0 0

1 0 0 0 0 0 0 0 0 0 0 0 0 0

0 X X X X X 1 1 1 1 1 1 1 1

图6 七段共阴极数码管引脚图

3.2 组别信号电路

图7 组别信号控制电路

图8 74LS138引脚图

74LS373的Q0~Q3端同一时间只有一个为低电平,Q0~Q2接74LS138的A、B和C端,因为当Q0~Q2全为高电平就是Q4为低电平,所以用Q0~Q2可正常译码出组别信息。Yn接非门,即可将相应组别信号变为高电平,其余组为低电平。

表4 74LS138真值表

G1 G2+G3 C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7

X 1 X X X 1 1 1 1 1 1 1 1

0 X X X X 1 1 1 1 1 1 1 1

1 0 0 0 0 0 1 1 1 1 1 1 1

1 0 0 0 1 1 0 1 1 1 1 1 1

1 0 0 1 0 1 1 0 1 1 1 1 1

1 0 0 1 1 1 1 1 0 1 1 1 1

1 0 1 0 0 1 1 1 1 0 1 1 1

1 0 1 0 1 1 1 1 1 1 0 1 1

1 0 1 1 0 1 1 1 1 1 1 0 1

1 0 1 1 1 1 1 1 1 1 1 1 0

3.3 步进电路

图9 步进电路

1-GND 2-触发3-输出4-复位5-控制电压6-门槛7-放电8-Vcc

图10 555定时器引脚图

利用555定时器构成多谐振荡器,图10中,接通电源后,电容C4被充电,当uc 上升到2/3V CC时,使“3”输出为低电平,同时放电三极管T导通,此时电容C4通过R20和T放电,uc下降。当uc下降到1/3V CC时,uo翻转为高电平。电容C4放电所需时间为:

t2=R20*C*ln2≈0.7*R20*C4 (1) 电容充电时间:

t1≈(R19+R20)*C4*ln2≈0.7(R19+R20)*C4(2) 电路的频率:

f=1tt1+tt2=1.43(RR19+2?RR20)?CC (3) 为使移位寄存器的移位速度较快,在按键6按下的瞬间,需要完成将所有的信号向右移位,故这里参数R19选取1K,R20选取1K,C4选取1uF,产生的脉冲频率为f≈ 47.67Hz.

图11 74LS198引脚图图12 74LS194引脚图第一个74198的输入用A1~G1表示,输出用Q1A~Q1G表示,第二个74198的输入用A2~G2,输出用Q2A~Q2G表示,74LS194的输入用A3~D3表示,输出用Q3A~ Q3D 表示。A1、C1、E1、G1和A2接在单刀双掷开关7,C2、E2、G2、A3和C3接在单刀双掷开关8;Q1G接在SR2,Q2G接在SR3,目的是使前一个移位寄存器输出的电平信号串行输入到下一个移位寄存器,这样便可形成连续的“0”“1”交替的信号,从而形成特定个数的脉冲;三个SL接地;三个S0接电源,三个S1接到步进按键6,当按键未按下时,移位寄存器将输入端的数置入输出端;当按键按下时,移位寄存器在555产生的移位脉冲下开始向右移位,形成连续的脉冲。按键6松开时,又将输入端的数置入输出端,即可开始下一次加分或减分。

表5 74198真值表

CLR?????S1 S0 CLK SR SL A~H Q A~ Q H

0 X X X X X X~ X 0~ 0

1 X X 0 X X X~ X Q A~ Q H

1 1 1 X X a~ h a~ h

1 0 1 X 0 X~ X 0- Q A~Q G

1 0 1 X 1 X~ X 1- Q

~ Q G

1 1 0 0 X X~ X Q B~ Q H-0

1 1 0 1 X X~ X Q B~ Q H-1

1 0 0 X X X X~ X Q A~ Q H

表6 74194真值表

CLR?????S1 S0 CLK SR SL A~D Q A~ Q D

0 X X X X X X~ X 0~ 0

1 X X 0 X X X~ X Q A~ Q D

1 1 1 X X a~ d a~ d

1 0 1 X 0 X~ X 0- Q A~Q C

1 0 1 X 1 X~ X 1- Q A~ Q C

1 1 0 0 X X~ X Q B~ Q D-0

1 1 0 1 X X~ X Q B~ Q D-1

3.4 报警电路

图13 74LS192引脚图

图14 报警电路

首先用555定时器产生一个1Hz的秒脉冲,根据公式(1)~(3),可选取R15=R16=1M,C1=470nF。

图14左边是抢答时间倒计时,拨码开关DSW5可实现预置不同的时间,74LS192计数器从0减到9时,借位输出端TCD引脚由高电平变为低电平,可作为报警电路的控制信号。置数端PL由抢答控制电路(见图7)第二个与非门输出提供,当没有人抢答时,第二个与非门输出高电平,抢答倒计时电路启动,计数器在0~9的变化时,借位输出信号控制蜂鸣器发声,此时主持人可断开开关7,停止倒计时。当有人抢答时第二个与非门输出低电平,使计数器置数。

图14右边是回答时间倒计时,置数30。两个74LS192计数器采用串联形式,第二个计数器的借位输出端TCD作为报警电路的控制信号。置数端PL由抢答控制电路(见

图7)第一个与非门输出提供,当没有人抢答时,第一个与非门输出低电平,抢答倒计时置数;当有人抢答时第一个与非门输出高电平,回答倒计时电路启动,标号为“192-2”的计数器在0~9的变化时,借位输出信号控制蜂鸣器发声。

表7 74LS192真值表

????CP U CP D模式MR PL

1 X X X 清零

0 0 X X 置数

3.5 计分电路

图15 计分电路

计分电路共有四组,结构一致,这里只介绍第二组计分电路。使用两个74LS192加减计数器构成十进制两位记分器。通过一个单刀双掷开关和八位拨码开关实现置数功能,当置数为零时,即实现清零功能。组别控制信号(见图7)和移位寄存器产生的特定个数脉冲进行与非运算,通过一个单刀双掷开关SW8控制加分或者减分。

3.6 总体电路及仿真结果分析

仿真电路图见附件一。

图16 总体电路启动

由于系统设计的原因,在系统启动时存在两个问题:第一,抢答时间倒计时不能置????)启动时不是低电平。第二,系统启动时四组独立计分部分加一数,原因是置数端(PL

(若是控制加减分的开关在减分,则系统启动减一)。针对以上两个问题,解决办法是

对系统进行初始化:首先断开开关7,按下按键1~4中的任意一个,使回答时间倒计时

置数,随后再按下复位键(开关5);其次,使四组独立计分部分置数为0。通过以上两

步即可完成系统的初始化,使系统进入正常工作状态。图17是系统初始化后的状态。

图17 系统初始化后

图18 抢答电路仿真

图18仿真第一组抢答,组别LED灯和数码管均正常显示。仿真结果表明系统正常工作,可完成组别锁存和显示的功能。

图19 步进、加减分仿真

图19是对第一组加5分,第二组加10分,第三组减5分和第四组减10分的模拟。仿真结果表明系统正常工作,可完成加减5分或10分的功能。

(a) (b) (c)

图20 报警电路仿真

图20(a)是系统未报警时的状态,蜂鸣器两端均为低电平,(b)是抢答时间倒计时报警状态,(c)是回答时间倒计时报警状态。(b)和(c)中蜂鸣器一端为高电平,驱动蜂鸣器

发声报警。仿真结果表明系统工作正常,可正常报警。

4 硬件电路连接与测试

4.1电路测试

由于电路复杂,器材准备不充分,实物只搭建出两个模块。图21是搭好的实物图,图22是搭好的555多谐振荡测试图。

图21 实际电路搭建

图22 555多谐振荡器

4.2 PCB板

抢答器系统电路PCB如图23所示。

图23 PCB电路图

4.3 元件清单

本设计所用元器件如表8所示。

表8 元器件列表

元件参数/规格数量

7段译码器74LS48 12 4位2进制加减计数器74LS192 11

定时器NE555 2

83优先编码器74LS148 1

38译码器74LS138 1

三态输出8D锁存器74LS373 1

8位双向移位寄存器74LS198 2

4位双向移位寄存器74LS194 1

2输入与非门74LS03 2

元件参数/规格数量

非门74LS05 1

发光二极管LED-RED 4

共阴极七段数码管1位,红色12

470nF 1

独石电容

1uF 1

瓷片电容0.01uF 1

金属膜电阻1/4W,100Ω 4

1/4W,1KΩ 2

1/4W,1MΩ 2

开关立式四角开关 6

单刀双掷开关8

4位拨码开关 1

8位拨码开关 5

船型开关 1

5V有源蜂鸣器 1

芯片座8引脚 2

14引脚 4

16引脚26

20引脚 1

24引脚 2

5 总结

本次课程设计利用74系列集成芯片,完成了数字智力竞赛抢答器的设计,并进行了仿真,焊接硬件电路并进行了测试。仿真结果显示,设计的数字智力竞赛抢答器达到了设计要求。设计中较为困难的是如何实现步进5分和10分以及在锁存第一组抢答信息的同时禁止锁存后来的抢答信息。为解决这两个问题,我多次请教三位指导老师,在图书馆查阅了相关资料和文献,最终利用74LS373锁存器和74LS198移位寄存器解决这两个问题。在此,我衷心感谢秦立峰老师、龙燕老师和胡瑾老师对我的课程设计的悉心指导与帮助。

完成这次课程设计我觉得收获很多,不但进一步掌握了数字电路的知识及相关专业知识,还提高了自己的设计能力。实践是检验真理的唯一标准。理论知识的不足在这次实习中表现的很明显。这将有助于我今后更加明确学习的方向,认识到自己的不足,确定自己的目标,从而更加努力的学习。

参考文献

[1]黎小桃, 余秋香. 数字电子电路分析与应用[M]. 北京理工大学出版社, 2014

[2]邬春明, 雷宇凌, 李蕾. 数字电路与逻辑设计[M]. 清华大学出版社, 2015

[3]阎石, 王红. 数字电子技术基础(第六版)[M]. 高等教育出版社, 2014

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

数字八路抢答器课程设计报告

梧州学院 课程设计论文(2013-2014学年下学期) 课程名称数字电路 论文题目八路数字智力抢答器 系别信息与电子工程学院 专业电子信息工程 班级电本一班 学号000000000000 学生XX 聪明的小强 指导教师xxx 完成时间2015 年7 月

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发, 用数字、模拟电子器件设计具有扩充功能的抢答器。该设计数字抢答器就是利用数字电子技术实现的。主要为了实现抢答、定时、显示、报警功能。其电路由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。当有选手按下按钮时,优先锁存,其他选手在按时无响应,直到主持人按下清零按钮之后,才能开始下一轮的抢答。比较实用。 该数字抢答器可以广泛应用于各种竞赛,确保竞赛的准确,公平。该数字抢答器虽然可以用单片机程序来完成,但繁琐和代价高。而用集成电路设计制作抢答器:方便,价格便宜,还易于扩展。 关键字:编码,译码,555定时器,抢答器

第一章引言 (1) 1.1 设计要求 (1) 1.2 系统概述 (1) 第二章设计方案分析与论证 (3) 2.1 设计方案分析 (3) 2.2 方案的论证与选择 (3) 第三章单元电路设计 (5) 3.1 抢答电路设计 (5) 3.2 定时电路设计 (7) 3.1 时序控制电路设计 ................................................................ (13) 第四章智能抢答器实物制作 (15) 4.1 原理图设计和PCB设计 (15) 4.2 实物焊接及调试 (16) 4.2 实物展示 (17) 第五章测试结果分析与设计体会 (20) 5.1 测试结果与分析 (20) 5.2 设计体会与实验总结 (20) 参考文献 (21) 附录元器件清单 (22)

基于PLC控制的四路智能抢答器毕业设计

毕业设计 题目基于PLC控制的四路智能抢答器系别电气工程系系 专业电气自动化 班级 姓名 学号 指导教师 日期 2010年12月

设计任务书 设计题目: 基于PLC控制的四路智能抢答器 设计要求: 1.抢答器可同时供4组以下选手参加比赛。 2.给竞赛主持人设置了3个控制按钮,用来控制开始.复位.停止。 3.每当主持人发出开始抢答指令后,选手按下抢答器按钮,则数码管就显示编号,同时绿色指示灯亮,音响电路发出声响提示信号(持续三秒),选手答题完毕后,由主持人按下复位按钮,系统开始下一轮抢答。 4.违规抢答:若选手在未开始抢答时提前抢答了,则视为违规,违规时数码管显示其编号同时红灯亮音响电路发出声响。 5.抢答限时:当主持人按下抢答器按钮时定时器T0开始计时(设定15秒)若15秒限制到时仍无人抢答则黄灯亮音响电路发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时按钮,同时数码管显示答题倒计时时间,此设定为30秒,选手必须在设定时间内完成答题,否则,音响电路发出超时报警信号。 设计进度要求: 第一周:确定题目,查阅相关资料。 第二周:根据设计要求分析PLC声光智能抢答器的工作原理。 第三周: 收集PLC声光智能抢答器的资料,对硬件进行设计。 第四周:从整体出发对PLC声光智能抢答器软件进行设计。 第五、六周:进行上机调试程序,找出问题,进行修改,并改进设计。 第七、八周:撰写毕业设计论问,进行毕业答辩。 指导教师(签名)

摘要 PLC四路智能抢答器是最简单竞赛抢答系统,具有结构简单、操作方便、安全可靠、造价低、发展前景广阔、功能强大等优点。抢答器广泛用于电视台娱乐性质的竞赛抢答活动,为竞赛增添了刺激性,在精神上丰富了人们的业余生活。抢答器在竞赛中能准确、公正、直观地判断出第1抢答者。通过抢答器的指示灯显示、数码显示和警示蜂鸣等手段指示出第1抢答者。 在PLC的三种编程语言中,梯形图和语句表是PLC最基本的、最常用的编程语言。本次设计是利用PLC(Programmable Logic Controller)对四路智能抢答器进行控制。考虑到只是对四组智能抢答器进行控制,则输入端口需要7个,输出端口需要23个,所以需要有一个CPU226的模块和两个EM222数字量扩展模块,考虑到要实现声光功能需要两个喇叭和四个指示灯。由于抢答时要显示组号和倒计时故还需要三个数码管,以上的器件价格不贵并且容易买到,另外PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用S7-200系列系列的CPU226和数字量扩展模块EM222作为本次设计的PLC控制的四路智能抢答器的主要模块。 关键词:智能抢答,声光显示,PLC,自动控制

4人智力竞赛抢答器设计

4人智力竞赛抢答器设计 (2009-12-13 15:42:40) 转载 标签: 分类:数字电路 智力竞赛 锁存器 倒计时 选手 计数器 it 课题4人智力竞赛抢答器 一、设计内容及要求 设计一台可供4名选手参加比赛的智力竞赛抢答器,具体要求如下: 1、4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 2、给主持人设置一个控制按钮,用来控制系统清零(编号显示、数码管熄灭)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在编号显示器上显示该编号,扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,此时扬声器发出0.5秒音响,同时定时器停止倒计时,显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

5、如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 二、系统原理框图 三、工作原理 电路由脉冲产生电路,锁存电路,编码及译码显示电路,倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上,同时产生相应的音响效果。 主持人按开始键时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。 四、单元电路设计参数计算及元器件选择 1. 编码电路 编码器的作用是把锁存器的输出转化成8421BCD码,送给7段显示译码器。其真值表为:

智力抢答器的设计

一:摘要 抢答器是在智力竞赛中为了答题时方便进行抢答而设计的一种优先判决器电路,本次的课程设计要求是可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参加者按抢答开关,则该组指示灯亮并用组别显示电路显示抢答者的组别。此时,电路具备自锁功能,使别组的抢答开关不起作用。设置记分电路。每组在开始时预置成100分,抢答后主持人记分,答对依次加10分。设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别显示电路显示出犯规组别。按照这样的规律进行智力竞赛抢答游戏,要完成这些逻辑功能,这个电路应该包括鉴别模块,计数模块,报警模块,译码模块,计分模块,分频模块。 关键词:抢答封锁鉴别计数报警 二:设计要求 1:抢答器同时供四组选手比赛,分别用四个按钮S0,S1,S2,S3表示。 2:设置一个系统清除和开始抢答的总控制开关又主持人作用RST. 3:抢答器具有锁存与显示功能,即锁定最先抢答的选手的编号并由LED显示出来 4:选手的抢答实行优先制,即当第一个选手按下抢答键时,其他选手的按键不再有效直到主持人将系统清楚 5:抢答器具有定时功能,每次抢答时间先前设定,超出抢答时间就会出现报警提示,此轮抢答作废 6:如果答对由主持人记分。初始预置100分,每答对一次加十分 三:方案论述 3.1抢答器的鉴别模块 在这个模块中主要实现的是抢答过程中的前大功能,如果选手出现超前抢答则发出警告报警声,并能记录抢答者编号。实现当有一路抢答器按键按下时,其他抢答器信号将被改抢答器封锁而不能正常抢答。其中四个抢答信号:S0,S1,S2,S3;抢答状态显示信号states 抢答与报警时钟信号clk2;系统复位信号rst;报警信号warm. 3.2抢答器计数模块 在这个模块中主要实现抢答器的计时功能,在有抢答器按键按下后的20秒的倒计时,并且在20秒内倒计时后无人抢答就显示抢答超时并报警提示。在这其中有时钟信号clk1;系 统复位信号ret;抢答是能信号start;无人抢答信号warm;计时终止信号stop;计时十位和计时个位信号tb,ta。 3.3报警模块 在这个模块中主要实现抢答过程中的报警功能。在有限时间内无人抢答或者超前抢答都会用报警声来提示。其中包括计时停止信号stop;状态输出信号alm;计数脉冲clk。 3.4译码模块 在这个模块中主要实现抢答过程中将BCD码转换成7段得功能。 3.5分频模块 在这个模块中主要实现抢答过程中所需要的时钟信号。 3.6记分模块 这个模块主要实现抢答者答对时加十分的功能。 3.7顶层文件

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

六路数字抢答器的设计.222

太原理工大学 课程设计 题 目名称数字竞赛抢答器 课 程 名 称数字电子技术课程设计学生姓名张超张兵锋 学号 2010002553 2010002552 系 、专 业矿业工程采矿工程专业指导教师黄丽霞 2012年 1 2月 28 日

1.设计背景 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时还可以设置记分、犯 规及奖励记录等多种功能。该设计就是针对上述各种要求设计出的供6名选手参赛使用的数字式竞赛抢答器。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器 、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器 上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后,数字抢答器成形。 2.设计任务与要求 1.设计制作一个可容纳六组参赛的数字式抢答器,每组设计一个抢答按钮供抢答者使用。 2.电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指 令后,开始抢答,定时器开始工作,数码管显示时间,从30s开始减计数,并有小红灯亮起(说明可以答题,抢答有效)。30s 内无人抢答则计数停,无人抢答显示0.若30s内参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别, 同时扬声器发出“嘀-嘟”的双音音响持续2~3秒。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 3.设置记分电路。每组在开始时预置成 100 分,抢答后由主持人记分,答对一次 加10分,否则减10分。 4.设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别电路显示 出犯规组别。 3 .总体设计方案

毕业设计---关于单片机的智能抢答器的设计

关于单片机的智能抢答器的设计 摘要 单片机实验装置是电子技术常用的设备之一,广泛的应用于教学、科研等领域。设计一个实现抢答功能的电路,能够显示最先抢答到的号数,并能实现倒计时和时间不够时的报警功能。此抢答器电路设计原理简单、功耗低、稳定性好、反应速度快和安装方便。本次设计的核心控制元件是用AT89C52单片机,用它来设计一个简易的智能抢答器,方案的主要内容是以AT89C52单片机作为主控核心,再用数码管的发光点亮和和按键的控制,然后附加上中断部分,最后用C语言的编程,就完成了一个简易的智能抢答器的设计。此抢答器所需的硬件只是一块AT89C52芯片、数码管和按键等,成本比较低,适用于许多场合。本设计的系统采用单片机作为整个控制核心。那么控制系统的四个模块为:显示模块、存储模块、语音模块、抢答开关模块。该系统通过开关电路四个按键输入抢答信号;利用一个数码管来完成显示功能;用按键来让选手进行抢答,在数码管上显示哪一组先答题的,从而实现整个抢答过程。此设计实用性强、判断精确、操作简单、扩展功能强大。当选手坐在抢答器前,观众看着大屏幕显示的题目和答案,利用竞赛软件,运用互动式多媒体技术,将竞赛题目制作成演示程序。通过选手面前的显示器,为参赛队员提供最直接的看题效果,保证队员对题目的正确理解。它的功能实现是有主持人按键来控制总开关,主持人按下开关那么选手开始抢答,此时数码管开始0—60计数,并且选手们必须在规定的时间内进行抢答,若过了60秒还没抢答那么抢答失效,选手们若有一个在规定的时间内抢答成功则其余的选手不可以再抢答,在数码管上会显示哪位选手抢答到题目及其所用的时间。 关键字:抢答器;AT89C52单片机; 数码管动态显示; 中断系统; 程序控制

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

数字电路抢答器电路设计.doc

《数字电子技术课程设计》报告——数字电路抢答器电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 1.课程设计目的 抢答器电路设计方案很多,有用专用芯片设计的、有用复杂可编程逻辑

电路设计的、有用单片机设计制作的、也有用可编程控制器完成的,但由于专用电路芯片通常是厂家特殊设计开发的,一般不易买到或价格较高,用其它方式设计的需要设计者具有相应的理论知识,并要通过仿真器、应用软件、计算机等辅助设备才能验证完成,不利于设计者的设计和制作。 而有些实际竞赛的场合,只要满足显示抢答有效和有效组别即可,故我打算不用所给的参考电路,而用一片 74LS297(8 位的数据锁存器)来实现此简易抢答器的功能。这是一个显示方式简单、价格低廉、经济实用的抢答器。在要求不高的场合,能完全符合需要 2、性能指标要求: (1)设计制作一个可容纳8 组参赛的数字式枪答器,每组设置一个抢答按 钮供抢答者使用。 (2)根据数字式抢答器的功能和使用步骤,设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,起动、复位电路。 (5)设计计分电路,犯规电路。 3.电路组成框图 如图数字抢答器框图

如图所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到" 清除 "状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主 持人将开关置“开始”状态,宣布"开始 "抢答器工作。定时器倒计时,扬声器给 出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定 时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 4.元器件清单 元件清单: 74LS148 1 74LS279 1 74LS48 3 74LS192 2 NE555 2 74LS00 1 74LS121 1 510Ω 2 1KΩ9 Ω 1 Ω 1 100kΩ 1 10kΩ 1 68kΩ 1 Ω 1 15k

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

六路数字抢答器的设计

摘要 随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对他的认识也逐步加深。人们也利用了电子技术以与相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问答中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过定时电路实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。在抢答电路中利用一个优先编码器译出最先抢到答题权的选手的编号并经显示器显示出来,同时还要封锁电路以防其他选手再抢答。当选手问答完成后,主持人将系统恢复至零。 关键词:控制电路; 报警电路; 定时电路

目录 摘要 (Ⅰ) 1绪论.............................................................................. 错误!未指定书签。 1.1设计背景.............................................................................. 错误!未指定书签。 1.2设计任务与要求.................................................................. 错误!未指定书签。2总体设计方案............................................................... 错误!未指定书签。 3 系统详细设计 (3) 3.1抢答器电路 (3) 3.2定时电路 (4) 3.3报警电路 (5) 3.4相关元器件的介绍 (5) 4安装与调试 (8) 4.1调试 (8) 4.2安装 (9) 5系统仿真与测试 (11) 5.1仿真步骤..................................................................... 错误!未指定书签。 5.2仿真结果与分析............................................................................................ 错误!未指定书签。6收获与体会............................................................................. 错误!未指定书签。元件清单.......................................................................... 错误!未指定书签。参考文献......................................................................错误!未指定书签。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

相关文档
相关文档 最新文档