文档视界 最新最全的文档下载
当前位置:文档视界 › 电压比较器实验报告

电压比较器实验报告

本页面为作品封面,下载文档后可自由编辑删除!实习报告

单位:

姓名

时间

2

.P

一、实验目的

1.了解电压比较器与运算放大器的性能区别;.掌握电压比较器的结构及特点;2 .掌握电压比较器电压传输特性的测试方法;3 .学习比较器在电路设计中的应用。4

二、实验数据记录、处理与分析【过零电压比较器电路】①

过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于????≥=≤??时,输??;反之,当输入电压??测量正弦波的频率相位等。当输入电压??时,输出outoutininOLout??= ??。出OHout:

实验仿真

线

3 .P

85

线

实测实验记录:由于时间不足,没有做过零比较器的相关实测

【基本单门限比较器电路】②

。(门限电平)接比较器的同相输入端,反相输入端接参考电压单门限比较器的输入信号Vin Vref 。VOLVinVref 当输入电压时,输出为高电平;当输入电压时,输出为低电平

实验仿真

4

.P

线

实测实验记录

未接上拉电阻)( 5

电压比较器实验报告

85 专业:电气工程卓越 人才 姓名:卢倚平 学号: ________ 验 … 一 二、实验内容 五、思考题及实验心得 一、实验目的 了解电压比较器与运算放大器的性能区别: 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率 的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压in< 输出out = 0L ;反之,当输入电压in N out 时,输出out = OH 。 实验仿真: 课程名称: 电路打电r 技术实於 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验同组 学生姓名: 邓江毅 三、主要仪器设备 四、实验数据记录、处理与分析 一、实验目的 2. 举握电压比较器的结构及特点; 3. 掌握电压比较器电圧传输特性的测试方法: 4. 学习比较器在电路设计中的应用。

不疲器?5(£C1I JS J 时同270.001ms 270.001 ms 0.000s JIf 「反向—] 通道 上 ?4.998 V -4.998 V 0.000 V 通道丿 -17.847V -17.847 V 0.000 V H as 12^1 时基_ 标度:10 msX)iv X轴位移(格):0 通ilA 刻度: 20 VQ2 Y轴位移 (格):0 通ilB ____ 刻度:5 VQiv Y轴位移 (榆:0 L保Q外触发 触发 边沿:SB 0回国] 水 平:0 ~ 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin接比较器的同相输入端,反相输入端接参考电 压Vref (门限电平)。当输入电压Vin>Vref 输出为高电平VOH:当输入电压Vin

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

电压比较器工作原理及应用实例

电压比较器工作原理及应用实例 时间:2011-11-24来源:作者:方佩敏 来源:https://www.docsj.com/doc/dc12594674.html, 本文主要介绍电压比较器基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端)及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout 的输出如图1(c)所示:VA>VB时,Vout输出高电平(饱和输出);VB>VA时,Vout 输出低电平。根据输出电平的高低便可知道哪个电压大。 如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图

1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。 如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为: Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则 Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

2位二进制数据比较器实验报告

2位二进制数据比较器实验报告 一 实验目的? 1.熟悉Quartus II 软件的基本操作 2.学习使用Verilog HDL 进行设计输入 3.逐步掌握软件输入、编译、仿真的过程 二 实验说明? 输入信号 输出信号 A1 A0 B1 B0 EQ LG SM 0 0 0 0 1 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 1 0 0 1 0 1 1 0 0 0 1 1 0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 1 1 0 1 0 1 0 1 1 1 0 0 1 0 1 1 1 1 1 逻辑表达式: 三 实验要求? 1、完成2位二进制数据比较器的Verilog HDL 程序代码输入并进行仿真 2、采用结构描述方式和数据流描述方式 3、完成对设计电路的仿真验证 A1 A0 EQ B1 comp_2 LG B0 SM 本次实验是要设计一个2位的二进制数据比较器。该电路应有两个数据输入端口A 、B ,每个端口的数据宽度为2 ,分别设为A0、A1和B0、B1、A0、B0为数据低位, 、B1为数据高位。电路的输出端口分别为EQ (A=B 的输出信号)、LG (A>B 时的输出信号)和SM (A

四、实验过程 1 程序代码 (1) module yangying(A,B,EQ,LG,SM); input [1:0]A,B; output EQ,LG,SM; assign EQ=(A==B)1'b1:1'b0; assign LG=(A>B)1'b1:1'b0; assign SM=(AB) begin EQ<=1'b1; LG<=1'b0; SM<=1'b0; end else begin EQ<=1'b0; LG<=1'b0; SM<=1'b1; end end endmodule 2 仿真结果 五、实验体会 通过2位二进制数据比较器的设计,使我们更加熟悉Quartus 软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握2位二进制数据比较器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。

电压比较器实验报告

`实验报告 课程名称: 电路与电子技术实验 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验 同组学生姓名: 邓江毅 一、实验目的 二、实验内容 三、主要仪器设备 四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ① 【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出;反之,当输入电压时,输 出 。 实验仿真: 专业:电气工程卓越人才 姓名: 卢倚平 学号: 3150101215 日期: 4.1 地点: 东3 404

85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref(门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

电压比较器实验报告材料

`实验报告 课程名称:电路与电子技术实验指导老师:成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验内容及原理 实验内容 1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形和电压传输特性曲线。 2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3.并绘制输出波形和电压传输特性曲线。

4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形 和电压传输特性曲线。 5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。 6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin

(精选)西南交大数电实验报告

实验二、三:quartusⅡ原理图设计1.实验原理图 2.实验仿真波形

实验四:Verilog描述组合逻辑电路1.一位数值比较器 1.1源代码 module compare(a_gt,a_eq,a_lt,a,b); input a,b; output a_gt,a_eq,a_lt; assign a_gt=a&~b; assign a_eq=a&b|~a&~b; assign a_lt=~a&b; endmodule 1.2代码生成原理图 2.七段译码器 2.1源代码 module decode4_7(codeout,indec); input[3:0] indec; output[6:0] codeout; reg[6:0] codeout; always@(indec) begin case(indec) 4'd0:codeout=7'b1111110; 4'd1:codeout=7'b0110000; 4'd2:codeout=7'b1101101; 4'd3:codeout=7'b1111001;

4'd4:codeout=7'b0110011; 4'd5:codeout=7'b1011011; 4'd6:codeout=7'b1011111; 4'd7:codeout=7'b1110000; 4'd8:codeout=7'b1111111; 4'd9:codeout=7'b1111011; default: codeout=7'b1001111; endcase end endmodule 2.2代码生成原理图 3.总原理图

4.实验仿真波形图

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

比较器工作原理及应用

电压比较器(以下简称比较器)就是一种常用得集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F 变换电路、 A /D 变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用得电压比较器。 什么就是电压比较器 简单地说,电压比较器就是对两个模拟电压比较其大小(也有两个数字电压比较得,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)就是比较器,它有两个输入端:同相输入端(“ + ” 端)及反相输入端(“一”端),有一个输出端Vou t (输出电平信号)。另外有电源V+ 及地(这就是个单电源比较器),同相端输入电压VA,反相端输入VB。V A与VB得变化如图1(b )所示。在时间0~ t 1时,V A > V B ;在上1?t 2时,V B > VA ;在上2~t3时,V A> VB。在这种情况下,Vo u t得输出如图1 (c)所示:V A>VB 时,Vou t输出高电平(饱与输出);V B >V A时,V o u t输出低电平。根据输出电平得高低便可知道哪个电压大.

如果把V A 输入到反相端,V E 输入到同相端,VA 及V B 得电压变化仍然如图1(b)所示则Vout 输出如图1(d )所示.与图 1 (c )比较,其输出电平倒了一下。输出电平变化与 VA 、VE 得输入 端有关。 图2⑻就是双电源(正负电源)供电得比较器?如果它得 VA 、VB 输入电压如图1 (b )那样,它得输出特性如图2(b)所示。VB > V A 时,Vou t 输出饱与负电压。 国1 ■KT \ I V 咚庄

EDA仿真实验报告

EDA仿真实验报告 姓名: 学号: 班级:

一.实验目的 1.了解EDA技术的发展、应用。 2.学习Multisim的使用。 二.实验内容 1.与非门实现四舍五入 2.用74LS138和必要的门电路设计一个表决电路 3.用74LS85设计四位数值比较器 三.实验软件与环境 1.EDA技术 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。 2.EDA的应用 EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。 主要是了解EDA的基本概念和基本原理、使用EDA的某种工具进行电子课程的实验并从事简单系统的设计,为今后工作打下基础。

3.Multisim Multisim是Interactive Image Technologies公司推出的以Windows 为基础的板级仿真工具,适用于模拟/数字线路板的设计。 本实验使用了NI Multisim 14.0 软件。 四.实验内容与步骤 1.与非门实现四舍五入电路(只能用与非门) 真值表: 逻辑表达式:F=m(5,6,7,8,9)

2.用74LS138和必要的门电路设计一个表决电路 真值表: F=M'ABC+MA'BC+MAB'C+MABC'+MABC=MAB+MAC+MBC+ABC 电路方面要注意输出为低电平,所以要用与非门。

相关器实验报告doc

相关器实验报告 篇一:锁相放大器实验报告 广东第二师范学院学生实验报告 1 2 3 4 5 篇二:数值比较器的实验报告 计算机组成原理实验报告 1 P Q COMP 7485 2 三、实验内容 1、实验步骤 (1)原理图输入:实验原理图,采用图形输入法在计算机上完成实验电路的原理图输入。(2)管脚定义:其中A3A2A1A0定义在k3-k0(56-53),B3B2B1B0定义在k11-k8(29-26),G,M,L,定义在LED3-LED0(79-76)。 (3)原理图编译、适配和下载:将实验系统中的模式

开关(K23)置于分调模式;在图形输入软件环境中选择ispLSI1032E器件,进行原理图的编译和适配,无误后完成下载。原理图如下: (4)4位数值比较器的调试:使用输入开关在4位数值比较器的输入端预置任意数值,观察输出的值是否符合输入的情况。输入3组两个4位二进制数 ①输入A1000、B1111,观察LED灯的指示情况②输入A1111、B1000,观察LED灯的指示情况③输入A1000、B1000,观察LED灯的指示情况 2、实验结果 3 4 篇三:小信号放大器的实验报告 小信号放大器技术报告 班级自动化123 姓名王显聪学号 24XX3007 项目代号 01 _ 测试时间_XX/10/18 成绩 1. 设计目标与技术要求: 1. 将输入的交流小信号放大10倍左右; 2. 要求输出波形完整且不失真; 3. 焊接牢固,美观,器件布局合理,器件选择合理; 4. 掌握小信号放大器的工作原理。 2. 设计方法(电路、元器件选择与参数计算): 电路原理图:

1.电源:使用信线性直流稳压电源提供的5V电压; 2.元器件:电阻:需要33KΩ 16KΩ 3.9KΩ 2KΩ 1.2K Ω 390Ω的电阻各一个; 电容:需要10uF的3个,0.1uF的和47uF的各一个; 三极管:需要NPN型通用小信号晶体管2SC2458两个; 3.参数的计算:a.基极的直流电位Ve是 用R1和R2对电源电压Vcc分压后的电位 则 Vb=(R2/(R1+R2))*Vcc b.发射机的直流电位Ve 则 Ve=Vb-Vbe c.发射极上流过的直流电流Ie 则 Ie=Ve/Re=(Vb-Vbe)/Re d.集电极的直流电压Vc等于电源电压减去Rc的压降而得到的值 则 Vc=Vcc-Ic*Rc e.由于基极电流很小,我们在计算的时候可以省去 则 Ic=Ie Vc=Vcc-Ie*Rc f.交流电压的放大倍数 则 Av=Rc/Re g.确定耦合电容C1,C2和C3,C4的阻值 因为C1和C2是将基极或集电极的直流电压截止,仅让

四电压比较器LM339的8个典型应用例子

四电压比较器LM339的8个典型应用例子 LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:1)失调电压小,典型值为2mV;2)电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;3)对比较信号源的内阻限制较宽;4)共模范围很大,为0~(Ucc-1.5V)Vo;5)差动输入电压范围较大,大到可以等于电源电压;6)输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图1a给出了一个基本单限比较器。输入信号Uin,即待比较电压,它加到同相输入端,在反相输入端接一个参考电压(门限电平)Ur。当输入电压Uin>Ur时,输出为高电平U OH。图1b为其传输特性。

电压比较器实验报告

专业:电气工程卓越人 才 `实验报告 课程名称:电路与电子技术实验指导老师:周箭成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 邓江毅 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性 矩形波。常用于测量正弦波的频率相位等。当输入电压V in≤V out时,输出V out=V OL;

反之,当输入电压V in≥V out时,输出V out=V OH。 实验仿真: 85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref (门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

实验仿真 实测实验记录 (未接上拉电阻) (接了上拉电阻) (电压传输特性曲线) (改变比较电压Vref=2.52V) (改变边角电压Vref=-2.52V) (输入方波) (放大) 改变输入正弦波的频率进行测量: (输入正弦波20KHZ) (输入正弦波50Khz) (输入正弦波100KHZ) (输入正弦波500KHZ) 改用运放LM358: (输入正弦波1KHZ)

数电实验报告(含实验内容)

数电实验报告(含实验内容) 班级:专业:姓名:学号:实验一用与非门构成逻辑电路 一、实验目的 1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法 2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能 二、实验设备及器材 KHD-2 实验台 集成 4 输入2 与非门74LS20 集成 2 输入4 与非门74LS00 或CC4011 三、实验原理 本实验用的逻辑图如图 2-1 所示 图1-1 图1-1 四、实验内容及步骤 1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。 2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。 3、用与非门实现以下逻辑函数式,测试其逻辑功能,

将结果填入表1-3中。 Y(A,B,C)=A’B+B’C+AC 班级:专业:姓名:学号:五、实验预习要求 1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线 2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。 六、实验报告 1、将实验数据整理后填入相关的表格中 2、分别说明各逻辑电路图所实现的逻辑功能 A B C Z A B C Y 表1-1 表1-2 A B C Y 表1-3 班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计与测试方法 2、进一步熟悉常用集成门电路的逻辑功能及使用 二、实验设备及器材 KHD-2 实验台 4 输入2 与非门74LS20 2 输入4 与非门74LS00 或CC4011

三、实验原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。设计组合电路的一般步骤如图2-1 所示。 图 2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 四、实验内容及步骤 1、用与非门设计一个数码转换电路,将一个三位二进制码转换成3 位格雷码。即当输入信号为三位二进制代码时其输出为相应的3 位格雷码。要求: 1)分析逻辑功能,作出真值表,写出逻辑表达式。 班级:专业:姓名:学号: 2)简化逻辑表达式,画出逻辑图 3)按逻辑图连接逻辑电路并测试其逻辑功能。 2、用与非门设计一个一位的数值比较器,即比较两个1 位的二进制数A、B 的大小,假定当A>B 时,1 号灯亮,AB 时,1 号灯亮,A

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

电压比较器及其应用教学内容

电压比较器及其应用

电压比较器及其应用 在最常用的简单集成电路中,电压比较器仅次于排名第一的运算放大器而排名第二。各类教科书及相关出版物中可以经常看到关于运算放大器的理论、设计和使用方法的知识内容,而关于比较器的知识内容明显较少。我们在中等职业技术教学中,补充了一些知识内容,弥补这些不足。 一、电压比较器简介 电压比较器可以看作是放大倍数接近“无穷大”的运算放大器。其功能是比较两个输入电压(或者说一个基准电压和一个待比较电压)的大小,并用输出电压的高电平或低电平,表示两个输入电压比较的结果:当“+”输入端(同相输入端,下同)电压高于“-”输入端(反向输入端,下同)时,输出为高电平;当“+”输入端电压低于“-”输入端时,输出为低电平。电压比较器可以用作模拟电路和数字电路的接口,还可以用作波形的产生和变换等。利用电压比较器可将正弦波变换为同频率的方波或矩形波。 电压比较器的输入是线性量,而输出是开关量(高电平或低电平)。一般应用中,可以用线性运算放大器,在不加负反馈的情况下,构成电压比较器来使用。所有的运算放大器都可用作电压比较器,例如LM324、LM358、μ A741、TL081、OP27等,这些都可以做成电压比较器。LM339、LM393是专业的电压比较器,切换速度快,延迟时间小,可用在专门的电压比较场合。

电压比较器有的使用单电源工作,如图1所示。有的单电源和双电源都可以使用,图2所示使用的就是双电源。我们经常使用的四电压比较器LM339,既可使用最大值36V的单电源,也可使用±18V的双电源。电压比较器的输出端,有的自身可以输出高电平及低电平,例如输出级采用推挽式结构的;而有的电压比较器输出级是一只集电极开路的三极管,称作集电极开路输出,参见图3。也有场效应管漏极开路输出型,与集电极开路输出型类似。对于集电极开路输出和漏极开路输出的电压比较器,使用时要连接上拉电阻R,输出端才可能有高电平,如图4所示。上拉电阻R一端连接在比较器的输出端,另一端则有两种选择:一是连接在 芯片自身的电源端Vcc上, 如图4a,二是连接至另一独 立电源,如图4b中的Vcc2 上。其中第二种连接方法可 以用来改变传输电平,用低电平逻辑控制高电平逻辑,或者相反。 二、电压比较器应用中的问题 普通电压比较器的结构简单,灵敏度高,但是抗干扰能力差,例如图5所示的电压比较器电路,我们向“-”输入端施加一个缓慢变化的信号V in,当该信

电压比较器实验报告

`实验报告 课程名称: 电路与电子技术实验指导老师: 成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目得二、实验内容 三、主要仪器设备?????四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目得 1.了解电压比较器与运算放大器得性能区别; 2.掌握电压比较器得结构及特点; 3.掌握电压比较器电压传输特性得测试方法; 4.学习比较器在电路设计中得应用。 二、实验内容及原理 实验内容 1。设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。 2。设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3。并绘制输出波形与电压传输特性曲线. 4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。 5。设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形与电压传输特性曲线. 6。设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin〈Vref2时,输出Vout=VOL;Vin<Vref1时,输出Vout=VOH。 实验原理 电压比较器(简称为比较器)就是对输入信号进行鉴幅与比较得集成器件,它可将模拟信号转换成二值信号,即只有高电平与低电平两种状态得离散信号。可用作模拟电路与数字电路得接口,也可用作波形产生与变换电路等。比较器瞧起来像就是开路结构中得运算放大器,但比较器与运算放大器在电气性能参数方面有许多不同之处。运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器得响应速度比运算放大器快,传输延迟时间比运算放大器小,而且不需外加限幅电路就可直接驱动TTL、CMOS等数字集成电路。但在要求不高情况下也可以考虑将某些运算放大器(例如:LM324、LM358、μA741、TL081、OP07、OP27等)当作比较器使用.常见得比较器电路有过零比较器、门限比较器、滞回比较器、窗口比较器与三态比较器等。常用得电压比较器有: LM339、LM393、LM311等. 比较器瞧起来像就是运算放大器得开环应用,运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器与运算放大器之间有许多明显得不同之处.因此只有在特殊得情况下,可将运算放大器当作比较器使用。 运算放大器就是一种为在负反馈条件下工作所设计得电子器件,其设计重点就是保证在负反馈条件下得稳定性,压摆率与最大带宽等.通常运算放大器得开环增益非常高,在开环情况下只能处理输入差分电压