文档视界 最新最全的文档下载
当前位置:文档视界 › 实验室设备管理系统 详细设计实验报告

实验室设备管理系统 详细设计实验报告

实验室设备管理系统 详细设计实验报告
实验室设备管理系统 详细设计实验报告

实验室设备管理系统详细设计实验报告1 详细设计说明书

1引言(2)

1.1编写目的(2)

1.2背景(2)

1.3定义(2)

1.4参考资料(2)

2程序系统的结构(3)

3程序1(标识符)设计说明(4)

3.1程序描述(4)

3.2功能(5)

3.3性能(5)

3.4输人项(5)

3.5输出项(6)

3.6算法(6)

3.7流程逻辑(7)

3.8接口(8)

3.9存储分配(8)

3.10注释设计(8)

3.11限制条件(8)

3.12测试计划(8)

3.13尚未解决的问题(9)

4程序2(标识符)设计说明(9)

1引言

1.1编写目的

对软件需求的全面、深入的理解是软件开发工作获得成功的前提条件,作为软件定义时期的最后一个阶段,需求分析的任务是明确用户对目标系统的需求,主要是确定对系统的综合要求,同时分析系统的数据要求。它能提高软件开发过程的能见度,便于实现软件开发人员对开发过程的工程化管理与控制,便于项目管理人员、开发人员、测试人员、维护人员之间更好地交流与协作。

1.2背景

a.开发软件系统的名称:实验室设备管理系统

b.本项目的任务提出者:第五组

c.开发者:第五组

d. 用户:实验室设备管理员

e. 运行系统的计算中心:实验室电脑

1.3定义

报废:管理人员发现设备因某些原因损坏而导致设备不能使用时,就将该设备记录下来,并记录报废原因。

维修:管理人员发现设备因某些原因损坏需要维修时,就将该设备记录下来,并提出维修意见。

查询:随时对现有设备及其修理、报废情况进行统计、查询,要求能够按类别和时间段(某日期之前)查询

1.4参考资料

《软件工程导论》张海藩清华大学出版社

实验室设备管理系统可行性研究报告第五组

实验室设备管理系统需求分析报告第五组

实验室设备管理系统概要设计报告第五组

2程序系统的结构

用一系列图表列出本程序系统内的每个程序(包括每个模块和子程序)的名称、标识符和它们之间的层次结构关系。

设备管理员操作模块如下图所示:

系统功能操作模块如下图所示:

3程序1(标识符)设计说明

从本章开始,逐个地给出各个层次中的每个程序的设计考虑。以下给出的提纲是针对一般情况的。对于一个具体的模块,尤其是层次比较低的模块或子程序,其很多条目的内容往往与它所隶属的上一层模块的对应条目的内容相同,在这种情况下,只要简单地说明这一点即可。

3.1程序描述

及时的对购买新设备、维修设备、报废设备进行审批核实。以及方便的维护管理现有设备。

3.2功能

说明该程序应具有的功能,可采用IPO 图(即输入一处理一输出图)的形式。

3.3性能

说明对该程序的全部性能要求,包括对精度、灵活性和时间特性的要求。

3.4输人项

给出对每一个输入项的特性,包括名称、标识、数据的类型和格式、数据值的有效范围、输入的方式。数量和频度、输入媒体、输入数据的来源和安全保密条件等等。

用zemax设计光学显微镜光学系统设计实验报告

课 程 设 计 光学显微镜设计 设计题目 学 号 专业班级 指导教师 学生姓名 测量显微镜

根据学号得到自己设计内容的数据要求: 1.目镜放大率10(即焦距25) 2.目镜最后一面到物面距离110 3.对准精度1.2微米 按照实验步骤,先计算好外形尺寸。然后根据数据要求选取目镜与物镜。 我先做物镜。因为这个镜片比较少。按物镜放大率选好物镜后,将参数输入。简单优化,得到比较接近自己要求的物镜。 然后做目镜,同样的做法,这个按照焦距选目镜,将参数输入。将曲率半径设为可变量,调入默认的优化函数进行优化。发现“优化不了”,所有参数均没有变化。而且发现把光源放在“焦点”位置,目镜出射的不是平行光。我百思不得其解。开始认为镜头库的参数可能有问题。最后我问老师,老师解释,那个所谓的“焦点”其实不是焦点,我错误的把“焦点”到目镜第一个面的距离当成了焦距。这个目镜是有一定厚度的,不能简单等效成薄透镜。焦点到节点的距离才是焦距。经过老师指点后,我尝试调节光源到目镜第一面的距离,想得到出射平行光,从而找到焦点。但这个寻找是很费力气的,事倍功半。老师建议我把目镜的参数倒着顺序输入参数。然后用平行光入射,然后可以轻松找到焦点。 但是,按照这个方法,倒着输入参数,把光源放在无限

远的地方(平行光入射),发现光线是发散的。不解。还是按照原来的方法。把光源放在目镜焦点上,尽量使之出射平行光。然后把它与优化好的物镜拼接起来。后来,加入理想透镜(会聚平行光线),加以优化。 还有一个问题,就是选物镜的时候,发现放大倍率符合了自己的需求,但工作距离与共轭距,不符合自己的要求。这个问题在课堂上问过老师,后来经老师指点,通过总体缩放解决。 物镜参数及优化函数

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

光电计数器实验报告

光电计数器实验报告 学生姓名李志 学号081244115 专业名称光信息科学与技术 指导教师易煦农 时间日期2011-10-19 摘要 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理 的基础技术,是获取信 息和处理加工信息的手段,无法获取信息则无法运用信息。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计

数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光电式传感器是其中之一,它是一种非接触式电子传感器。采用光电传感器制作的光电式电子计数器。这种计数器在工厂的生产流水线上作产品统计,有着其他计数器不可取代的优点。 【关键词】光电效应光电传感器光电计数器 ABSTRACT The 21st century is the age of information, it is the access to information, treatment information, use of the information age. Sensing and detection technology is important because it is the access to information and the information necessary to deal with the underlying technology, is access to information and means of processing information, unable to get information you won't be able to use information. Photoelectric sensor is a light signal into an electric signal of the sensor. It is the theoretical basis of the photoelectric effect. These effects can be broadly divided into three categories. The first type is outside of the photoelectric effect, namely, in daylight, can make the tungsten surface. Use this effect caused by device with vacuum photocell, photomultiplier tubes, etc. The second category is the photoelectric effect, i.e., in the light, can make the electrical resistivity of the material change. Such devices include various types of photosensitive semiconductor. The third category is photo voltaic effect, in the light, the objects within the EMF EMF, this is called light-induced electromotive force. This class of

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

光机电一体化系统设计实验报告

学院实验报告 学院:专业:班级:成绩: 姓名:学号:组别:组员: 实验地点:实验日期:指导教师签名: 实验(2)项目名称:加速度传感器、速度传感器振动测量实验和悬臂梁固有频率测量实验 1.实验项目名称 加速度传感器、速度传感器振动测量实验和悬臂梁固有频率测量实验 2.实验目的和要求 (1)了解并掌握机械振动信号测量的基本方法 (2)掌握用瞬态激振方式,进行机械阻抗测试的仪器组合及使用方法,了解瞬态激振时的数据处理方法 (3)测出悬臂梁的固有频率 3.实验原理 (1)振动测量原理 机械在运动时,由于旋转件的不平衡、负载的不均匀、结构刚度的各向异性、间隙、润滑不良、支撑松动等因素,总是伴随着各种振动。 机械振动在大多数情况下是有害的,振动往往会降低机器性能,破坏其正常工作,缩短使用寿命,甚至造成事故。机械振动还伴随着同频率的噪声,恶化环境,危害健康。另一方面,振动也被利用来完成有益的工作,如运输、夯实、清洗、粉碎、脱水等。这时必须正确选择振动参数,充分发挥振动机械的性能。 在现代企业管理制度中,除了对各种机械设备提出低振动和低噪声要求外,还需随时对机器的运行状况进行监测、分析、诊断,对工作环境进行控制。为了提高机械结构的抗振性能,有必要进行机械结构的振动分析和振动设计。这些都离不开振动测试。 振动测试包括两种方式:一是测量机械或结构在工作状态下的振动,如振动位移、速度、加速度、频率和相位等,了解被测对象的振动状态,评定等级和寻找振源,对设备进行监测、分析、诊断和预测。二是对机械设备或结构施加某种激励,测量其受迫振

动,以便求得被测对象的振动力学参量或动态性能,如固有频率、阻尼、刚度、频率响应和模态等。 振动的幅值、频率和相位是振动的三个基本参数,称为振动三要素。 幅值:幅值是振动强度的标志,它可以用峰值、有效值、平均值等方法来表示。 频率:不同的频率成分反映系统内不同的振源,通过频谱分析可以确定主要频率成分及其幅值大小,从而寻找振源,采取响应的措施。 相位:振动信号的相位信息十分重要,如利用相位关系确定共振点、测量振型、旋转件动平衡、有源振动控制、降噪等。对于复杂振动的波形分析,各谐波的相位关系是不可缺少的。 在振动测量时,应合理选择测量参数,如振动位移是研究强度和变形的重要依据;振动加速度与作用力或载荷成正比,是研究动力强度和疲劳的重要依据;振动速度决定了噪声的高低,人对机械振动的敏感程度在很大频率范围内是由速度决定的。速度又与能量和功率有关,并决定动量的大小。 (2)YD-37加速度传感器简介 压电传感器的力学模型可简化为一个单自由度质量——弹簧系统。根据压电效应的原理,当晶体上受到振动作用力时后,将产生电荷量,该电荷量与作用力成正比,这就是压电传感器完成机电转换的工作原理。压电式加速度传感器在振动测试领域中应用广泛,可以测量各种环境中的振动量。YD-37加速度传感器与DRBS-12-A型简易电荷放大器的综合灵敏度约是6080mV/m.s-2。 (3)CD-21速度传感器简介 CD-21振动速度传感器的基本原理是基于一个惯性质量(线圈组件)和壳体,壳体中固定有磁铁,惯性质量用弹性元件悬挂在壳体上工作时,将传感器壳体固定在振动体上,这样当振动体振动时,在传感器工作频率范围内,线圈与磁铁相对运动,切割磁力线,在线圈内产生感应电压,该电压值正比于振动速度值,这就是振动速度传感器的工作原理。CD-21振动速度传感器的测量范围是10~1000Hz,灵敏度约是200mv/cm.s-2。 (4)悬臂梁试验台架由底座、悬臂梁、加速度传感器、激振捶等构成。悬臂梁结构总体尺寸为120*110*150mm(长*宽*高)。可进行悬臂梁固有频率和阻尼系数的测量。 实验时通过激振捶敲击悬臂梁,产生脉冲激振,通过安装在悬臂梁上的加速度传感器获取悬臂梁受瞬态激励后输出的振动信号波形(信号触发采样方式),经信号调理设备处理后,通过数据采集仪输入计算机中,从悬臂梁脉冲响应信号波形或信号功率谱就

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

光电检测技术实验设计

光电检测技术 实验报告 题目:光电报警系统的设计和制作学院:仪器科学与光电工程学院专业:测控技术与仪器 班级: 学生姓名: 指导老师:

实验三 光电报警系统的设计和制作 一、设计任务 红外报警器系统的原理框图如图1所示。由红外光源发出的红外辐射被红外探测器接收,红外辐射信号变为电信号,经信号放大和处理电路后送报警电路。系统分成发送和接收两部分,分开放置。当没有人和物体进入这两部分之间,红外辐射没有被阻挡时,报警处于不报警状态。一旦有人或物体进入这两部分之间。红外辐射被阻挡,报警器立即翻转到报警状态。 图1 红外报警器系统原理框图 二、设计方案 (1)发射端电路 用NE555组成振荡器来驱动发光管,NE555构成多谐振荡器原题图如图2所示。下面对照电路图简述其工作原理及参数选择。 图2 多谐振荡器 注:1地 GND 2触发 3输出 4复位 5控制电压 6门限(阈值) 7放电 8电源电压Vc 当3脚为高电平(略低于Vc 时),输出电压将通过R1对C1充电。A 点电压按指数规律上升,时间常数为R1C1。 当A 点电压上升到上限阙值电压(约2Vc/3时),定时器输出翻转成低电平

(略大于0V)。这时,A点电压将随C1放电而按指数规律下降。当A点下降到下限阙值电压(约Vc/3)时,定时器输出变成高电平,调整R2的阻值得到严格的方波输出。 用NE555组成振荡器来驱动发光管时,要注意发光管上串联一个限流电阻。使输出电流小于或等于发光管的最大正向电流 F I。若振荡器输出电压为Vo,则 限流电阻R取值为F F O I V V R - ≥ 。如果限流电阻低于上述公式所得值,或未加限流电阻,则会造成发光管和定时器烧毁。 D2 LED 图3 振荡发射电路原理图 (2)光电检测、比较报警电路 D4 LED R8 500 图4 光电检测放大器电路原理图比较报警电路的设计利用光敏二极管的反向特性,当接收到光信号时,光敏二极管导通良好,产生电压,放大器即可对信号处理;当没有接收到光信号时,光敏二极管截止,放大器的同相端电压几乎为0。利用1/2LF353构成的光放大器,如图所示。用1/2LF353构成一个比较放大器。放大器的正端加2V左右偏压,负端加信号电压。当光线未阻断时,从主放大器来的交流信号经二极管检波电路,再经低通滤波器后得到直流电压,使后面的放大器负载输入端电位大于(或等于)正输入端电位。

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

红外光电计数器实验报告(DOC)

信息与电气工程学院 课程设计说明书(2015 /2016 学年第1 学期) 课程名称:小型数据设计 题目:红外线计数器 专业班级:计算机1401 学生姓名:何亚茹赵君王中昆 学号:140210122 140210107 140210121 指导教师:生龙 设计周数:二周 设计成绩: 2016年01月08日

目录 1 程序设计 (1) 2 课程设的主要内容 (1) 2.1设计的要求.............. . (1) 2.2创新方案及原理分析 (1) 2.3方案论证与选择 (2) 2.4软件的设计 (3) 3主要芯片设计 (4) 3.1介绍 (4) 3.2 51 单片机的特点 (5) 3.3数码管 (7) 4系统设计 (8) 4.1单片机最小设计系统 (8) 4.2红外线检测电路 (9) 4.3计数显示部分 (10) 4.4蜂鸣器报警电路 (10) 4.5按键控制电路 (11) 5 红外计数器程序设计 (11) 5.1主程序设计 (11) 5.2子程序设计 (13) 6总结 (15) 7参考文献 (16)

1、程设计目的 课利用AT89C51单片机来制作一个手动计数器。通过具体的项目设计包括确定控制任务、系统总体方案设计、硬件系统设计、控制程序的设计等,以便掌握单片机系统设计的总体思路和方法,掌握基于单片机控制的电子产品开发的技术方法,培养个人的创新意识和动手能力。 2、课程设计的主要内容 2.1设计的要求 1.利用AT89C51单片机来制作一个红外线计数器。有物体经过红外对管时计数一次。计数的范围是0~99, 计数满时,又从零开始计数。 2.整个系统有较强的抗干扰能力,具有报警能力。 3.将计数值准确显示出来。 2.2创新方案及原理分析 总体电路是由AT89C51单片机系统、红外光电管电路、蜂鸣器报警电路、数码管显示部分、复位电路部分组成,其结构如图2.1所示 图 2.1 整体方框图 红外传感器感受到外界信息时,产生高低电平,通过软件程序设置单片机内部寄存器,当传感器的高低脉冲被单片机接收到时,单片机产生中断,中断产生后进入中断服务程序,通过设置中断服务程序,进行计数。并通过P0 口将计数信息传送至数码管,数码管显示计数的个数。当电路断电后重新启动计数器时,系统自动复位(上电自动复位),以00开始重新计数。

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

温度测量控制系统的设计与制作实验报告(汇编)

北京电子科技学院 课程设计报告 ( 2010 – 2011年度第一学期) 名称:模拟电子技术课程设计 题目:温度测量控制系统的设计与制作 学号: 学生姓名: 指导教师: 成绩: 日期:2010年11月17日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、总体设计思想 (3) 四、系统框图及简要说明 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (5) 七、仿真结果 (8) 八、实测结果分析 (9) 九、心得体会 (9) 附录I:元器件清单 (11) 附录II:multisim仿真图 (11) 附录III:参考文献 (11)

一、电子技术课程设计的目的与要求 (一)电子技术课程设计的目的 课程设计作为模拟电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握电子系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课模拟电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型电子系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 (二)电子技术课程设计的要求 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 教师应事先准备好课程设计任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 (一)课程设计名称 设计题目:温度测量控制系统的设计与制作 (二)课程设计要求 1、设计任务 要求设计制作一个可以测量温度的测量控制系统,测量温度范围:室温0~50℃,测量精度±1℃。 2、技术指标及要求: (1)当温度在室温0℃~50℃之间变化时,系统输出端1相应在0~5V之间变化。 (2)当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。 输出端1电压小于3V并大于2V时,输出端2保持不变。 三、总体设计思想 使用温度传感器完成系统设计中将实现温度信号转化为电压信号这一要求,该器件具有良好的线性和互换性,测量精度高,并具有消除电源波动的特性。因此,我们可以利用它的这些特性,实现从温度到电流的转化;但是,又考虑到温度传感器应用在电路中后,相当于电流源的作用,产生的是电流信号,所以,应用一个接地电阻使电流信号在传输过程中转化为电压信号。接下来应该是对产生电压信号的传输与调整,这里要用到电压跟随器、加减运算电路,这些电路的实现都离不开集成运放对信号进行运算以及电位器对电压调节,所以选用了集成运放LM324和电位器;最后为实现技术指标(当输出端1电压大于3V时,输出端2为低电平;当输出端1小于2V时,输出端2为高电平。输出端1电压小于3V并大于2V时,输出端2保持不变。)中的要求,选用了555定时器LM555CM。 通过以上分析,电路的总体设计思想就明确了,即我们使用温度传感器AD590将温度转化成电压信号,然后通过一系列的集成运放电路,使表示温度的电压放大,从而线性地落在0~5V这个区间里。最后通过一个555设计的电路实现当输出电压在2与3V这两点上实现输出高低电平的变化。

-光电定向实验报告

光电定向实验 李康华 (哈尔滨工业大学威海校区光电科学系,威海264209) 摘要:采用四象限探测器作为光电定向实验,学习四象限探测器的工作原理和特性,同时掌握四象限探测器定向的工作方法。实验中,四象限探测器的四个限区验证了具有完全一样的光学特性,同时四象限的定向具有较良好的线性关系。 关键词:光电定向四象限探测器 1、引言 随着光电技术的发展,光电探测的应用也越来越广泛,其中光电定向作为光电子检测技术的重要组成部分,是指用光学系统来测定目标的方位,在实际应用中具有精度高、价格低、便于自动控制和操作方便的特点,因此在光电准直、光电自动跟踪、光电制导和光电测距等各个技术领域得到了广泛的应用。光电定向方式有扫描式、调制盘式和四象限式,前两种用于连续信号工作方式,后一种用于脉冲信号工作方式。,由于四象限光电探测器能够探测光斑中心在四象限工作平面的位置,因此在激光准直、激光通信、激光制导等领域得到了广泛的应用[1]. 本光电定向实验装置采用激光器作为光源,四象限探测器作为光电探测接收器,采用目前应用最广泛的一种光电定向方式现直观,快速定位跟踪目标方位。定向原理由两种方式完成:1、硬件模拟定向,通过模拟电路进行坐标运算,运算结果通过数字表头进行显示,从而显示出定向坐标;2、软件数字定向,通过AD 转换电路对四个象限的输出数据进行采集处理,经过单片机运算处理,将数据送至电脑,由上位机软件实时显示定向结果。 本实验系统是根据光学雷达和光学制导的原理而设计的,利用其光电系统可以直接、间接地测定目标的方向。采用650nm激光器做光源,用四象限探测器显示光源方向和强度。通过实验,可以掌握四象限光电探测器原理,并观测到红外可见光辐射到四象限探测器上的位置和强度变化。并利用实验仪进行设计性实验等内容,将光学定向应用到各领域中[2]。 2、实验原理 2.1、系统介绍 光电定向是指用光学系统来测定目标的方位,在实际应用中具有精度高、价格低、便于自动控制和操作方便的特点,因此在光电准直、光电自动跟踪、光电制导和光电测距等各个技术领域得到了广泛的应用。采用激光器作为光源,四象限探测器作为光电探测接收器,根据电子和差式原理,实现可以直观、快速观测定位跟踪目标方位的光电定向装置,是目前应用最广泛的一种光电定向方式。该

相关文档
相关文档 最新文档