文档视界 最新最全的文档下载
当前位置:文档视界 › winpe下安装win7

winpe下安装win7

winpe下安装win7
winpe下安装win7

WinPE下安装Windows 7完整教程

昨天介绍WINPE下安装Windows XP教程,今天顺便把WinPE下安装Windows 7教程也完善一下,作为之前硬盘安装Windows 7文章的一个补充,最主要是补充几张DOS下的抓图方便网友参考,毕竟很多文章都有描述立场上偏见,但是技术终归是技术,哪怕是再简单的操作,都有可能存在描述不清甚至是错误的可能,所以,网友一旦发现可以随时留言指出,不懂的地方尽可发问。

1、首先需要制作自己的WINPE U盘或WINPE光盘,如果已经有了请跳过此步,制作方法请参考:

U盘WINPE、光盘WINPE系统的安装制作教程

2、根据启动媒质类型,设置BIOS从U盘启动或光驱启动,设置方法请参考:

几种最常用的BIOS设置方法综合

3、启动后界面如下,下面内容天缘实测环境为老毛桃WINPE 2.0 U盘版。

4、选择第一项进入WinPE迷你维护系统。等待启动完成,依次点击“开始”——“程序”——“磁盘光盘工具”,选择光盘映像工具UltraISO。如下图:

然后使用UltraISO把Windows 7的光盘镜像解压到D盘或E盘(假设将要安装到C盘系统盘)的WIN7目录下,光盘镜像的提取方法请参考:

UltraISO对光盘镜像的常用操作方法图解

5、提取完成后,如下图:

6、请检查将要安装的系统盘(假设是C盘)是否还有数据需要备份,否则可以把该分区先快速格式化一下。

7、系统分区准备好、ISO解压完成后,我们如果直接双击win7下的setup.exe安装,报告如下图(Windows installation cannot be started from the current operating system....)错误:

8、所以上一篇安装Windows XP方式是不适合WIN7安装的(PE 3.0不知道是否可以暂未测试),不过可以采用传统硬盘安装方法,把Win7目录下的boot目录、bootmgr文件拷贝到C盘(假定是装到C盘),然后再把Win7 sources 目录的boot.wim拷贝到C盘的sources目录下(需自己创建一个sources目录),为将要进行Windows 7启动做准备文件,拷贝完成如下图:

9、下面开始设置启动引导,在WINPE的“开始”——“运行”,输入CMD并回车,如下图:

9、在CMD窗口,输入c:\boot\bootsect.exe /nt60 c:,并回车,如下图,会提示更新成功信息。

10、到这里已经设置Win7的安装启动完成,重启机子,如果是U盘WINPE引导,请记得把U盘拔下来。关闭command窗口,拔下PE引导盘,并重启计算机,自动会进入windows 7安装界面,选择安装语言,设置时间及键盘等;

11、再次启动后会自动进入Windows 7的安装界面,选择语言和其它首选项,点击下一步,如下图:

12、点击下一步进入安装Windows界面,如下图:

13、出现“开始安装界面”,天缘特别提醒到这里不要点击“现在安装”而是点击左下角的“修复计算机”。这一

步选择第一个选项,如下图:

14、进入Windows 7的系统恢复选项,如下图:

15、选择上图中的最后一项"命令提示符"。并按照下图方法依次进入Win7的安装目录:

或直接输入“D:\Win7\sources\setup.exe“,不要带引号,开始安装Windows 7;继续安装过程就很简单,请参考下面文章:

Windows 7的安装和使用教程

当然重启后的安装设置跟下面文章的DOS安装有点不同,网友只需要根据实际出现的界面参考文中的对应设置即可。

以上内容,U盘版WINPE 2.0环境下天缘全文在测试通过。

Xp系统和Win7双系统安装教程 windows 7 重装教程方法

很多朋友都希望尝试最新的Windows7系统,但又不愿意放弃WindowsXP,如何解决这个问题呢?安装win7和XP双系统是个好办法。下面笔者总结了几种win7和XP双系统安装的图文教程,希望对大家有所帮助。 xp和windows7双系统分为三两种情况,相信一定有适合你的方案。我们的目标是:让Win7+XP和谐共处! 具体如下: 情况一:在win7系统下安装xp 情况二:在xp系统下安装win7 情况三:最佳方案——独立双系统安装 情况一、在win7系统下安装xp(包括传统慢安装的2种方法和ghost安装的方法): 相信很多买了新电脑的用户都是预装的Win7操作系统,用起来老不习惯,不爽,想用XP系统,但又舍不得出厂的正版windows7,因此就需要在Win7下安装XP 双系统。 准备工作: 首先,需要准备一个用于安装XP系统的主分区。建议在Win7系统中,用磁盘管理器创建。例如我们选择的创建H盘。 右键点击计算机--管理--磁盘管理--

然后用鼠标单击某磁盘分区(如果有其它选择的话,不倡议从系统盘中划空间新建分区),在弹出的菜单当选择“紧缩卷”, 系统会弹出一个提醒框,示知用户系统正在获取能够紧缩的空间。

上图中的提醒信息所说的“查询卷以获取可用紧缩空间”,其实就是系统在检测当前分区还有大空间能够被朋分出来,让用户新建磁盘分区。 等到呈现上图所示的界面时(也就是系统检测后得出能够建新分区的空间巨细),单击“紧缩”按钮。稍候你就会发现,Windows 7以绿色标识的新空间了(如下图)。 从图中能够看出,当前可建新分区的空间为91.6GB,鼠标右键单击基本磁盘的未分配区域,然后左键单击“新建分区”,依次单击“下一步”、“主分区”、“扩展分区”或“逻辑驱动器”,这里我们选“主分区”,然后按照屏幕上的指示操作。当然上面的是新建了91GB的新分区,其实我们装xp根本用不了这么大,请大家自己分10~20GB就足够了。最后就是加上卷标"XP"即可。 方法1:用XP安装光盘全新安装: 上面已经准备好一个容量约10~20GB大小的主分区(如图所示)。 然后用Win XP安装光盘启动电脑并进行安装即可,安装方法与平常安装XP一样系统类似。

U盘安装win7(32位)系统原版安装版图文教程_超详细!!!

此教程只适用win7(32位) 注意!备份驱动程序 设置U盘启动教程参考地址: https://www.docsj.com/doc/90895659.html,/view/603a01ca0c22590102029d41.html?st=1 U盘制作教程参考地址: https://www.docsj.com/doc/90895659.html,/view/dd9a522dccbff121dd368343.html?st=1 Win原版下载地址: ed2k://|file|cn_windows_7_ultimate_with_sp1_x86_dvd_u_677486.iso|2653276160|75 03E4B9B8738DFCB95872445C72AEFB|/ 电脑店U盘工具地址:https://www.docsj.com/doc/90895659.html,/ 进PE利用虚拟光驱工具进行安装 以下安装过程是在虚拟机上完全安装的,和实际安装过程完全一样。 具体步骤: 1、先使用电脑店U盘启动盘制作工具制作完U盘启动。 注意:进入启动菜单,然后选择第十一个“win7/win8”选项,进入第一个PE。

2、插入U 盘进入PE,先把要装系统的盘格式化一下。

行加载。

4、打开光盘镜像后如图所示,之后把这个程序最小化就不用管他了,现在打开“我的电脑”,是不是多了一个盘符,这就说明光盘镜像已经顺利得加载到虚拟光驱中了。下面执行光盘根目录下的 SETUP.EXE 开始安装(这个步骤,每个安装盘可能有所不同,有的就是SETUP.EXE ,也有叫做 WINNT32.BAT,也可能叫做 XP安装器之类的,本文章的例子就是SETUP.EXE)(打开SETUP.EXE安装之前注意拔掉U盘,不然文件会自动写进U盘里,无法 进行下一步重启安装)。 5、双击SETUP.EXE打开,会出现安windows7安装欢迎安装窗口,点击“现在安装”。

一键U盘安装Win7系统教程

一键U盘安装Win7系统教程 如今安装操作系统变得超简单,菜鸟也可以轻松一键U盘安装Win7系统了。下面本文与大家分享下如何轻松使用U盘一键安装Win7系统,每个菜鸟朋友均可以轻松学会U盘装Win7系统,大家知需要准备U盘,然后制作下U盘启动,再将系统文件放置U盘即可,非常容易上手,下面一起来看今天的教程吧。 准备:一键U盘安装Win7系统所需工具: 1、U盘一个(容量至少8G以上,4G勉强也可以); 2、下载好Win7系统,制作好U盘启动; 说明:如今U盘都很便宜,8G以上U盘也不过几十元,大家可以到电脑城或者网购U盘均可,最好选用8G以上U盘,因为如今的Win7系统文件普遍在4G左右,Win8系统更大,因此U盘容量过小,系统文件无法放入。 购买好之后,将U盘插入电脑,然后再网上下载一个U盘启动软件,一键制作U盘启动,此类软件很多,比如大白菜、U大师、电脑店、老毛桃等等均可,随便下载一个软件安装,之后即可按照提示,一键制作好U盘启动,超简单。 将U盘制作成了启动盘之后,我们就需要下载Win7系统了,由于Win7系统很大,下载需要很长时间,建议大家可以提前晚上睡觉前将迅雷7打开挂着电脑下载Win7系统文件,记得设置一下下载完成之后自动关机,然后就去睡觉吧,第二天起来就下载好了,无需干等着下载。

百度搜索Win7系统下载 找到自己喜欢的Win7系统下载 猜你用的到:迅雷7下载完成后自动关机的设置方法 至此制作好了U盘启动,并且下载好了Win7系统,那么准备工作就完成了,下面就可以进入一键U盘安装Win7之旅吧,以下演示的是使用U大师制作的U 盘启动教程,其他诸如大白菜、电脑店等基本大同小异。 一键U盘安装Win7系统步骤:

硬盘安装win7系统过程详细图解

硬盘安装win7系统过程详细图解 2011-9-7 16:58 husquan_KM 摘要: 看到很多同学使用比较旧的方法来使用硬盘安装WIN7都没有成功了,其实是硬盘安装WIN7方法改了,今天做了个图解硬盘安装WIN7的文章,其实也很简单。 1、下载解压WIN7的安装包用winrar、winzip、7Z等等都可以解压, ... 看到很多同学使用比较旧的方法来使用硬盘安装WIN7都没有成功了,其实是硬盘安装WIN7方法改了,今天做了个图解硬盘安装WIN7的文章,其实也很简单。 1、下载解压WIN7的安装包 用winrar、winzip、7Z等等都可以解压,一般情况下,你下载的都是ISO格式的镜像,你可以将后缀名ISO改为RAR,解压出来后会有下面这样的文件: 2、复制Win7文件到根目录 将这些文件复制到一个非系统盘的根目录下,系统盘大多数都是C盘,而根目录就是某个磁盘,比如F盘双击后进去的界面,注意:一定不要放到文件夹里。 3、下载nt6_hdd软件 下载nt6_hdd_installer:https://www.docsj.com/doc/90895659.html,/portal.php?mod=attachment&id=2359 下载nt6_hdd_installer:https://www.docsj.com/doc/90895659.html,/portal.php?mod=attachment&id=2360 下载nt6_hdd软件,下载后放到之前存放win7安装文件的盘符的根目录,也就是和win7的安装文件放到一起。如图:

4、选择自己当前的系统版本 运行nt6_hdd,会出现下面的窗口,如果您现在的系统是XP可以选择1,如果是vista或者win7选择2,选择后按回车开始安装,1秒钟左右结束,之后就是重启系统了。 5、开始安装Win 7系统 在启动过程中会出现如下界面,这时选择新出来的nt6 hdd Installer mode 1选项,下面就开始安装了,按照安装步骤一步一步就行了,其中需要设置的地方,自己看着办。

win7系统封装wim教程

W i n7系统封装制作的全过程 网上有很多的各种修改版的系统,作为熟悉电脑的老手们是只使用纯净版系统的,毕竟,网上的修改版系统即使没有病毒木马,也给你塞了一堆你不喜欢的东西。可是,每次自己重装纯净版系统还是要安装很多自己常用的软件,还要去挨个做下系统配置来适应自己的习惯,自己家的电脑、公司的电脑都可能碰到这个问题,这个时候,我们就可以考虑动手DIY一个专属自己的系统了,是的,这就是封装。 很多人都认为制作封装系统是一件很复杂、很高深的事情。事实上,真正做过1次封装系统以后,就会发现做封装系统并不困难。只要具有一定电脑基础(会装操作系统、安装软件,能够比较熟练地使用常用的应用软件),再加上一点点细心和耐心,这样,制作一个专属于自己的封装系统就是一件轻而易举的事情了。 下面,我们一起来制作专属自己的Windows7封装系统吧。 工具/原料 ? 封装前准备 ?

Windows7系统官方发布的安装光盘(镜像) 需要预装的各种应用软件,如Office/WPS、Photoshop等等 UltraISO和Windows7AIK。 WindowsPE光盘(最好是Windows7PE光盘)。Windows7PE光盘可以使用Windows7AIK制作 然后,封装制作win7系统就开始了。 步骤/方法 安装操作系统和应用程序 . . 2 . 安装Windows7操作系统。

安装操作系统有4个环节要注意: . ①操作系统最好安装在C盘,安装期间(包括后面安装应用程序和进行封装)最好不要连接到网络。 . ②如果在安装操作系统过程中输入序列号,进行封装以后再重新安装操作系统不会再提示输入序列号。除非要制作成OEM版的封装系统,否则在安装过程中提示输入序列号时,不要输入序列号,直接点“下一步”继续系统的安装。. ③为保持封装系统纯净,安装好Windows7操作系统后最好不要安装硬件的驱动。当然,安装驱动程序也不会影响系统的封装。 . ④为避免调整优化系统、安装应用软件过程中出现不必要的错误和产生错误报告文件,第一次进入系统后应当禁用UAC和关闭错误报告。禁用UAC和关闭错误报告的方法如下:打开“控制面板”,点击“系统和安全”,选择“操作中心”,点击“安全”,在展开的详细设置内容中找到并点击“用户帐户控制”

重装系统Win7步骤和详细教程(2014版_附系统映像)

本贴针对那些不会重装系统的用户,虽然重装系统很简单,但是还是有一些小白是不会重装的,本教程现在就详细的讲一下重装系统的具体步骤,本帖以重装Windows7系统为例,讲述一下重装系统的详细步骤。 首先,重装系统之前需要将电脑中的重要资料备份和转移,这是非常重要的,备份完成后,下面我们开始重装系统 第一步 下载Onekey ghost下载地址 https://www.docsj.com/doc/90895659.html,/soft/detail/23409.html 下载Windows7映像下载地址 https://www.docsj.com/doc/90895659.html,/s/15wrIA 注意:以上两个都不要保存在C盘 第二步 打开Onekey ghost,选择还原分区,在GHO WIM ISO映像路径选择刚下载的Windows7.GHO。如下图

接下来, 在底下选择还原到C盘(点击C盘,然后那C盘一条会变蓝)。如下图,然后点击确定。 点击确定后,会弹出一个提示框(如下图),询问是否重启,点击是。接下来计算机会重启。 计算机重启后,会出现下图的情况,什么都不需要做,等进度条走完即可

进度条走完后,计算机会再次重启,Windows会自动安装,什么都不需要做,等计算机进入桌面后,重装系统就算完成了。 重装完成后需要做的 1.检查系统是否经过正版激活 方法:控制面板--系统,拉到最下面看看是否激活(如果显示状态不可用,需要等一会) 如果未激活,下载小马激活工具激活https://www.docsj.com/doc/90895659.html,/s/1gdBUUGF 2.根据自己的使用习惯调整系统设置 3.将备份的数据导入回去 4.下载安全软件,个人推荐卡巴斯基,AVG,nod32,诺顿,小红伞,这些杀软都进入国内了,杀毒能力明显是比国产强的,这几个有的有免费版,收费的其实在淘宝几块钱就能买到一年的激活码,国产的诸如电脑管家之类的可以当做系统辅助类软件用,因为国外的杀软基本都不带清理垃圾,系统优化这之类的功能,可以两者结合使用。 5.根据自己使用习惯下载软件等等

U大侠U盘安装原版Win7系统教程

U大侠U盘安装原版Win7系统教程 来源:U大侠时间:2014-03-20 如何利用u盘来安装原版win7系统?如何使用u大侠u盘装系统工具这一傻瓜式的装机工具,重装原版win7系统?是很多用户会遇到的问题,但很多电脑小白用户对此一无所知,有点为难,好心的小编下面就为大家具体来讲解这一问题。 u大侠u盘装系统需要准备? 1.一个已经使用u大侠制作好启动盘的u盘。 关于如何使用u大侠制作u盘启动盘,大家可以查看相关教程,教程地址如下: u大侠u盘启动盘制作详细图文教程:https://www.docsj.com/doc/90895659.html,/syjc/3.html 2.原版win7系统镜像包一个(大家可以到网上搜索下载)。 下载原版Win7系统镜像包到电脑本地磁盘,然后复制到u盘GHO文件夹内。 1.下载Windows7系统镜像包,系统镜像包后缀为“.iso” 注意:请不要把系统直接下载到u盘,直接下载到u盘的话可能会导致u盘烧坏,那是因为系统镜像包体积比较大,下载比较慢,会让u盘长时间处于高速运作状态,就会产生高温,则u盘就可能会烧坏了,而且直接下载到u盘的话速度也会比较慢哦,u大侠小编建议大家先把win7系统镜像包下载到电脑本地磁盘里面! 2.下载好系统以后,将它复制到u盘GHO文件夹内! 用户提问:iso后缀的Win7系统镜像包要不要解压出来,然后把里面的GHO文件放到u 盘? u大侠小编回答:可以这样做,不过也可以不这样做,因为u大侠PE里面的“u大侠P E一键装机工具”具有直接识别并提取iso镜像文件里面的WIM文件且直接安装的功能,所以大家可以省去解压这一步了,我们直接复制iso系统镜像包到u盘的GHO文件夹内即可! u大侠小编下载好了一个原版Win7系统到电脑桌面了,需要做的是把它复制到u盘的G HO文件夹里面。 【复制粘贴ISO镜像到U盘的方法】 鼠标对着系统镜像文件点击右键然后选择到“复制”并点击鼠标,即复制完毕(或者按快捷组合键进行复制:Ctrl+c),再打开u盘里面的GHO文件夹,在空白处点击右键选择到“粘贴”点击鼠标,(或者按快捷组合键进行粘贴:Ctrl+v)然后等待其完全粘贴为止!

正版Windows7系统安装方法

随着Windows7的普及,想使用WIN7操作系统的人确实不在少数,但多部分人都不懂得如何安装,看别人使用,而自己却不能使用!去电脑城买个盗版的系统盘吧,总是被强制安装很多软件,有时还有毒,很不安全!那就只能用XP,总感觉心理挺难受的吧。 好了废话不多说了,现在我就教大家如何使用虚拟光驱安装官方正版的WIN7系统吧。(注意:这里教安装的是单系统的WIN7,想装双系统只须将WIN7系统安装在原系统以外的分区即可,这里不进行详细讲述。) 虽然微软并没有免费发布出WIN7旗舰版提供下载,但是只要你想要。一切还是有的,嘿嘿 Windows 7 x86版下载地址:https://www.docsj.com/doc/90895659.html,/ghostxp/966.htm Windows 7 x64版下载地址:https://www.docsj.com/doc/90895659.html,/ghostxp/965.htm x64 是指CPU是64位版本的。x86 是指CPU是32位版本的。如果你的CPU是64位的。可以安装64位的,也可以安装32位的,反过来只能安装32位的。又普及了一个知识,这时 候可以有掌声了 下面我们正式开始: 1.我们将下载好的WIN7镜像用虚拟光驱载入,如果电脑开启了自动播放功能就会弹出如下图所示对话框

如果没有这个对话框的用户不要着急,我们可以进到虚拟光驱将这个程序打开就可以实现相同的效果。

在上图中,我们看到的这些文件就是用虚拟光驱载入的WIN7镜像文件所产生的,此时我们只需要运行“setup.exe”程序就会出现如下图所示的对话框

我们点击“现在安装(I)”按扭开始安装。等会就会出现如下图窗口

win7系统安装详细图文教程

系统安装方式目前有三种,分别是硬盘装系统、U盘装系统、光盘装系统。它们各有优 缺点小编就不在此累述。小编编写此篇教程的目的是为了教大家在系统没崩溃的情况下,通过硬盘安装GHOST系统的方式,实现快速装机目的。具体步骤如下: 硬盘装系统 一、系统下载完成之后,右键单击ISO镜像,弹出菜单选择解压文件; 二、解压完成,文件夹内容如下,双击打开autorun.exe文件:

三、弹出的“AUTORUN.EXE”运行界面中选择“安装GHOST系统到C盘”; 四、进入系统安装界面,如下图点选相应选项,点确认即可

五、点击取消“支持赞助商999.coom”全面复选框的勾选,然后选“是”即可; 选择“是“之后,再点选自动重启,装机过程的时间约持续5~10分钟; 选择完成,点击确定,然后选择自动重启,即可进入自动装机状态,时间约持续5~10分钟; 注意事项:1、如果自动装机完成后没有直接进入桌面,而出现了黑屏状态,毋须担心,不是系统问题,直接手动重启电脑,重启完成即可正常使用。 2、解压时请直接进行解压,不可系统存放路径不可存有中文字符,否则将无法正常安装。 3、请将解压出的gho文件放到除C盘外的分区,否则将无法正常安装;点击确定后会重新启动自动化安装,一般安装时间在5-10分钟! U盘装系统

U盘装系统是目前最常用的系统安装方式。特别适合于未安装光驱的台式机或超薄笔记本电脑上。小编为了给这类无光驱用户提供最大的便利,将在本文中为大家讲解最详细的U盘装系统教程。 您需要准备一个空的U盘(注意U盘中的重要数据请及时的备份出来,最好提前格式化U盘,U盘容量不小于4G) 第一步:1、下载大白菜U盘制作软件到你的电脑中; 2、下载GHOST系统到你的电脑中; 第二步:首先插入U盘,右键点击U盘,弹出菜单选择快速格式化(切记U盘中重要文件事先要备份出来,以防丢失),然后启动大白菜软件,界面如下图,点击界面最下方的“一键制作U盘启动”按钮,进入自动制作U盘启动盘;

WIN7旗舰版64位系统CAD安装方法

WIN7旗舰版64位系统CAD2012安装方法 安装说明: 1.以管理员的的身份启动安装Autodesk AutoCAD 2012 2.输入安装序列号:666-69696969, 667-98989898, 400-45454545 3.输入密匙:001D1 4.完成安装,重启CAD。 5.点击激活按钮之前 你有2个选择: a)禁用您的网络或拔掉网线;b)点击激活后它会告诉您,您的序列号是错误的,这时点击上一步等一会再点击激活即可。 选择了a或b后看下一步。 6.在激活界面中选择我拥有一个Autodesk激活码 7.一旦到了激活屏幕:启动注册机如果你是32位的请启用32位的注册机如果是64位的请启动64位的注册机。 8.先粘贴激活界面的申请号至注册机中的Request中, 9.点击Generate算出激活码,在注册机里点Mem Patch键否则无法激活提示注册码不正确。 10.最后复制Activation中的激活码至“输入激活码”栏中,并点击下一步。 你有一个完全注册autodesk产品 AutoCAD2012注册机绿色版(支持32位和64位简体中文) 2012-03-14 15:55 AutoCAD是由美国Autodesk欧特克官方于二十世纪八十年代初为微机上应用CAD技术(Computer Aided Design,计算机辅助设计)而开发的绘图程序软件。(第一次安装的时候记得完全安装,不要自定义安装。) AutoCAD经过不断的完善,现已经成为国际上广为流行的绘图工具。AutoCAD 2010具有良好的用户界面,通过交互菜单或命令行方式便可以进行各种操作。它的多文档设计环境,让非计算机专业人员也能很快地学会使用。在不断实践的过程中更好地掌握它的各种应用和开发技巧,从而不断提高工作效率。 CAD2010具有广泛的适应性,它可以在各种操作系统支持的微型计算机和工作站上运行,并支持分辨率由320×200到2048×1024的各种图形显示设备40多种,以及数字仪和鼠标器30多种,绘图仪和打印机数十种,这就为CAD2010的普及创造了条件。 本款CAD2010简体中文软件具有如下特点: (1)具有完善的图形绘制功能。 (2)有强大的图形编辑功能。 (3)可以采用多种方式进行二次开发或用户定制。 (4)可以进行多种图形格式的转换,具有较强的数据交换能力。 (5)支持多种硬件设备。 (6)支持多种操作平台 (7)具有通用性、易用性,适用于各类用户此外,从AutoCAD2000开始,该系统又增添了许多强大的功能,如AutoCAD设计中心(ADC)、多文档设计环境(MDE)、

最新UG2.0在win7系统中的安装方法(免费)

最新[图文教程]如何在WIN7下安装UG2.0(NX2.0) 教程写得比较啰嗦,希望各位看得懂 .说白了,就是用安装ug8.5在win7运行方式,用ug4.0.lic就行了,不用开机重启许可证了。下面有些步可不用. UG2.0在WIN7下安装,需要用到UG4.0的License Servers,先将UG4.0的license安装成功,再安装UG2.0主程序就好了。 注意:1.安装过程中需要通过防火墙的步骤全都选择“允许” 2.安装路径必须全为英文 3.此教程的安装目录皆默认为C:\program files 首先,修改下载的NX4.0的证书文件 证书文件在文件夹NX4.0→MAGNiTUDE→ugnx4.lic 双击打开→选择使用记事本打开“ugnx4.lic”↓(如下图)

打开后我们对其进行修改,我们要将下图红框标出部分替换为我们自己的计算机名称 WIN7下查看自己本机计算机名称:开始》右键点击计算机》属性即可看到本机名称(如图)↓

由图片可以看到,这台计算机名为freedom,那么我们把刚才红框标出的部分替换为freedom即可。(如图)↓ 注意:如果计算机名称为中文,则必须将其改为英文名称并重启才可安装 修改好后的证书文件我们可以随便放在一个我们方便找到的目录里即可,但必须路径中没有中文,如C:/ugnx4.lic 接下来我们开始正式安装

打开下载下来的NX4.0文件夹,找到launch.exe,双击运行

选择第二项:Install License Server 一路确定点下来,会提示你浏览你的证书文件,这时候我们浏览到刚才已经修改好的证书文件(ugnx.lic)即可

U盘安装win7系统原版安装版图文教程

安装原版Win7的方法 注意备份驱动程序 设置U盘启动教程参考地址: wenku.baidu./view/6c5d476327d3240c8447ef2a.html U盘制作教程参考地址: wenku.baidu./view/6ac2d192dd88d0d233d46a35.html?st=1 Win旗舰版下载地址: ed2k://|file|cn_windows_7_ultimate_with_sp1_x86_dvd_u_67748 6.iso|2653276160|7503E4B9B8738DFCB95872445C72AEFB|/ 电脑店U盘工具地址:u.diannaodian./ 进PE利用虚拟光驱工具进行安装 以下安装过程是在虚拟机上完全安装的,和实际安装过程完全一样。 具体步骤: 1、先使用电脑店U盘启动盘制作工具制作完U盘启动。 注意:进入启动菜单,然后选择第十一个菜单,进入第一个PE。

2、插入U盘进入PE,先把要装系统的盘格式化一下。

3、进入第一个PE后找到我们事先准备好的win7光盘iso镜像,找到虚拟光驱工具VDM进行加载。

4、打开光盘镜像后如图所示,之后把这个程序最小化就不用管他了,现在打开“我的电脑”,是不是多了一个盘符,这就说明光盘镜像已经顺利得加载到虚拟光驱中了。下面执行光盘根目录下的 SETUP.EXE 开始安装(这个步骤,每个安装盘可能有所不同,有的就是SETUP.EXE ,也有叫做 WINNT32.BAT,也可能叫做 XP安装器之类的,本文章的例子就是SETUP.EXE)(打开SETUP.EXE安装之前注意拔掉U盘,不然文件会自动写进U盘里,无法进行下一步重启安装)。 5、双击SETUP.EXE打开,会出现安windows7安装欢迎安装窗口,点击“现在安装”。

u盘启动大师安装win7系统教程(超详细)

U盘启动大师安装Win7系统教程(超详细) 可以用本教程给笔记本、台式机、上网本和组装电脑通过U盘安装Win7系统。 步骤一、首先要准备一个至少8GB的U盘。然后下载一个U盘启动大师(U盘启动盘制作工具),把您的U盘制作成具有启动功能的引导盘。下载地址在下面。 步骤二、下载一个合适的Win7 32位的系统,建议下载U盘启动大师提供的这个Win7 32位完整版的,没有做任何阉割,驱动也比较全,基本覆盖了所有的驱动程序。 以上两个文件的下载地址是:https://www.docsj.com/doc/90895659.html,/url/win7-32.html(点击可以直接用迅雷下载)下载完成后保存到本地硬盘的一个文件夹里。 打开上面的链接后点击“普通下载”,普通下载的速度就非常快了。如下图: 接着弹出来一个“选择要下载的URL”,点击确定就可以了,如下图:

然后弹出第一个要下载的文件“U盘启动大师专业版6.2”,选择你要保存的路径,点击“立即下载” 然后又弹出来一个系统下载的窗口“Win7_32.gho”,点击立即下载即可。

步骤三、下载完成后开始制作U盘启动盘,在制作之前,要先把原U盘的数据做好备份,因为制作过程会格式化整个U盘。具体步骤如下: 1)解压“U盘启动大师专业版6.2”,开始安装,注意安装时要把不必要的插件去掉。然后插入要制作的U盘,打开U盘启动大师专业版6.2,在下拉框中选择你要制作的U 盘盘符。(如果没有你要的盘符,点击刷新就可看到了),如下图:

2)点击右边的“开始制作U盘启动盘”按钮,将看到如下提示,提示你是否已备份所有U 盘中的数据。如果已经备份好数据了,点击“确定”就OK了。如果没有备份,打开我的电脑,把U盘中的数据复制到硬盘上就行了。如下图: 3)现在开始制作U盘启动盘,将会看到在“当前运行状态”下面有个进度条。如下图:

Windows7系统硬盘安装详细图文教程

Windows 7硬盘ABEPTAABZEHVEMjN 复制cdkey兑换cdkey安装详细图文教程 Windows 7正式版出来一段时间了,本人也在上个星期安装并正式使用中了。网上现在关于Windows 7安装教程也很多,但大多觉得不是太全面,说实话其实安装Windows 7也没难度,只不过因为大家对这个新东西不够熟悉,不敢盲目的装罢了。所以这几天抽空在虚拟机上安装截图后写下这篇自认为还比较详细的教程,希望大家都早日用上Windows 7。如果有什么忽略不对和不懂的地方欢迎大家跟贴说明。 下面是微软官方提供安装Windows 7对硬件配置的要求: 硬件名 称 基本需求建议与基本描述 CPU1GHZ及以上安装64位Windows 7需要更高CPU支持 内存1GB及以上推荐2GB及以上 硬盘16GB以上可用空间安装64位Windows 7需要至少20GB及以上硬盘可 用空间 显卡DirectX? 9 显卡支 持 WDDM 1.0或更高版 本 如果低于此标准,Aero主题特效可能无法实现 对于Windows7安装硬件的需求,大家可以对比自己的机器购买时间,如果您的机器是最近两年之间购买的,完全可以流畅的运行Windows7,而且各种特效都不少。就连目前比较流行的上网本,都能安装运行Windows7。考虑到对于常用软件的兼容性,建议安装Windows 7 RC 32位(x86)版本。 一、下载Windows 7与激活工具 Windows 7(32位 / 64位)中文旗舰版光盘镜像(“MSDN”正式版) Windows 7激活工具:Windows 7 Loader使用方法+下载(下载这个是为安装成功后及时激活,不然只有30天的试用)

win7安装详细图文教程

准备工作 将电脑设置为从光盘启动,在bios里进行设置。进入bios的方法视电脑型号不同而不同。同时不同的bios,设置方法也不同。现举例两种常见的bios设置。 第一种: 1、上下方向键移动到Advanced BIOS Features,按回车键,进入下一界面 启动顺序在这里就可以看到了, First Boot Device 第一启动设备 Second Boot Device 第二启动设备 Third Boot Device 第三启动设备 2、要想从光驱启动,必须把光盘的启动次序调到硬盘前面,比如第一启动设备为软驱,第二启动设备为光驱,第三启动设备为硬盘。 上下方向键移动到“First Boot Device”第一启动设备上,按回车键,接下来出现选择设备的窗口。

常见项有:Floppy 软盘 HDD -0 硬盘(第一块硬盘) CDROM 光驱 USB -CDROM USB 光驱 USB -HDD 移动硬盘 LAN 网络启动 3、用方向键上下移动可以选择启动设备,这里我们把第一启动设备设为软驱Floppy ,用方向键将光块上下移动到Floppy 后边的[]中,按回车键确定。

用同样的方法设置第二启动设备Second Boot Device为光驱CD-ROM,第三启动设备为Third Boot Device为硬盘HDD-0。 注意一点,因为绝大部分时间是从硬盘启动,所以三个启动设备中必须有一个是硬盘HDD -0,否则电脑装完系统也会启动不了,而是提示“DISK BOOT FAILURE”之类的话。 4、三个启动设备设完后,按ESC键回到主界面,用上下左右方向键移动到“Save & Exit Setup”项,按回车。 5、出现是否保存的提示“SAVE to CMOS and EXIT (Y/N)? Y” 默认是保存“Y”,直接按回车,就设置完成,电脑重启。如果只是实验不想保存,就从键盘上输入N,按回车确认返回主界面,再用方向键移动到“Exit Without Saving”项,按回车,再按回车确认,不保存退出BIOS。https://www.docsj.com/doc/90895659.html, 第二种

最新整理MSDN版的Win7旗舰版系统的安装教程

M S D N版的W i n7旗舰版系统的安装教程 S D N涵盖了所有的可以被开发扩充的平台和应用程序,那么M S D N版的W i n7旗舰版系统是如何安装呢?学习小编为大家分享了M S D N版的W i n7旗舰版系统安装的方法,下面大家跟着学习啦小编一起来了解一下吧。 M S D N版的W i n7旗舰版系统安装方法载入镜像之后,点击启动写入硬盘镜像。 选择我们的U盘盘符,格式化之后,写入。 之后主板B I O S设置从U盘启动就可以安装了。 安装过程详细截图: 如果提示下图缺少驱动的话,请尝试这样的方法:遇到这种问题的用户只要把U盘拔了重插即可。如果仍然没有解决,则可能是你将U盘插在扩展U S B插槽中了,只要将优盘插在主U S B插槽(例如台式机的机箱后侧直接连接在主板上的U S B插槽)即可正常安装了。而若是笔记本电脑,可以尝试更换U S B插槽来解决。 最后补充:除了使用U盘安装W i n d o w s7会出现这种问题外,一些老式台式机在安装时也会出现类似问题,这主要是由于W i n d o w s7安装程序无法识别I D E物理光驱或硬盘所造成的,W i n d o w s7没集成南桥芯片的驱动,

而主板上的I D E接口就是由南桥提供的。这种情况下下载你的主板南桥或者北桥芯片驱动,然后拷贝/解压到一个U盘中,在安装的时候插入U盘添加驱动即可。希望对大家有所帮助。 遇到上面问题还搞不定的,看下面的P E安装法用 O n e k e y G h o s t或者W i n N T S e t u p来安装! 除了以上方法,还有就是用最下面说的P E安装、 o n e k e y安装法! 确定为旗舰版S P1的! 有网友回复无法设置头像,现场测试,没有问题,如下图。 还可以使用O n e K e y G h o s t来安装W i n7,也挺快的,新版支持镜像安装了! 用W i n N T S e t u p安装记得勾选红框中的内容: 除此之外还推荐使用N T6来安装,兼容更多环境,如果遇到上面方法不行的话,就用N T6来安装吧! 再附上一个S H A1、M D5校验工具,安装完之后直接集成在右键--属性里了。 右击文件,属性。可以看到H a s h T a b标签,点击之后就可以看到详细的数值了,同时支持W i n8。

UG8.0安装方法win7系统(32位)—图文教程

提醒: ug8.0安装方法与之前版本是类似的,主要分为7以下几个步骤 1 修改许可证文件--将许可证文件改为自己的计算机名称 2 替换注册文件--一个是替换NX8.0下面的文件,一个是替换ugslicensing下的文件 3 启动许可证服务器(本文将会为您介绍,安装多个版本的ug如何启动服务器及之安装ug8一个版本的服务器如何启动) 安装ug也就遵循这三个步骤。 UG8.0安装步骤: 安装之前,请先确认您下载的安装程序为完整的。一般解压以后ug8的32位系统大小为1.83G左右,64位操作系统的2.03G 第一步解压分段的压缩包,将安装程序解压成一个文件,如图所示: 当然您下载的可能是整个的压缩包,这都无所谓,只要解压以后文件的大小正确即可! 解压完成的UG8.0安装程序包如图所示:

对安装程序包进行解压(此为iso文件,用虚拟光驱打开也行,直接解压也可以) 解压完成的UG8.0安装程序如图所示: 第二步解压破解文件,解压完成的破解文件如图所示: 有的破解文件可能在一个文件夹里面,比如:NX8.0

第三步修改许可证文件 在破解文件中找到UGSLicensing,打开文件夹,复制许可证文件 拷贝到一个非英文目录下,比如D盘的一个文件夹,下图所示文件的路径为非中文: 见笑,crack拼写错误!

用记事本打开‘nx8‘文件,然后,用自己电脑计算机的名称修改,下图红色方框内的“this_host”,在下面步骤教大家如何找到自己的计算机名称。

如何找到自己的计算机名称 在我的电脑上单击鼠标右键,然后选择---属性,在弹出的对话框中,选择计算机名称选项卡(蓝色方框内),最后就会如下图所示,其中红色方框内的,即为计算机名称(注意没有那个点)。 然后,我们将它复制---替换在上步骤中的,“this_host” 替换后,文档应如下所示:

重装系统Win7步骤和详细教程 U盘安装(2015版)

安装电脑系统已经两年多,主要是品牌笔记本与台式机,戴尔,三星,华硕等。我发现其实很多人不会安装现在的新的品牌电脑,包括一些电脑店的,有些打酱油的电脑店,因为品牌机器和组装机器安装有些区别,所以有的人搞不定了就对客户糊弄过去,这样的机器客户用起来其实问题多多,要么驱动没有装好,例如ATI的6370,如果用万能驱动很容易打驱动打成6300.这个是错误的,游戏或者看高清容易卡机出现蓝屏现象,最好到官网下载官方显卡驱动。有的人系统版本装的不对也容易出问题,现在的笔记本都不建议装xp,都建议装win7,这样兼容性会好点。 废话少说,开始教学装系统了:······ 首先:准备个U盘,U盘最少8G 因为系统要占据差不多4G 其次:下载PE软件,简称U盘PE吧。 第三:下载好PE后那就是制作PE系统制作步骤如下: 一、制作前准备(注意:操作前备份好u盘数据-因为U盘会格式化) 1.电脑内存不能小于512MB 2.U盘的容量大于512MB,建议8G 3.下载老毛桃U盘启动盘制作工具,如果已经下载好久跳过下载 下载地址:https://www.docsj.com/doc/90895659.html, 二、解压下载的老毛桃U盘启动制作工具,双击【老毛桃U盘启动盘制作工具 Build20120501】,选择你的U盘,画面如下图:

点击“一键制成USB启动盘”按钮(注意操作前备份重要数据)

制作成功,如下图,此时可以拔出你的U盘 注意:由于U盘系统文件隐藏,你会发现u盘空间会减少330M左右,请不要担心此时没有制作成功 三、将系统文件复制到U盘 这里说的系统文件是XP镜像或者win7镜像系统,这里都把下载地址给大家,我这个win7系统已经安装了几千台电脑的了,所以稳定性是不用说的,下载地址: win7系统下载地址 下载地址2:https://www.docsj.com/doc/90895659.html,/xitong.html 下载地址1:https://www.docsj.com/doc/90895659.html,/share/home?uk=3476242497

(完整版)如何制作U盘WIN7系统安装盘以及U盘安装操作系统的方法

如何制作U盘WIN7系统安装盘以及U盘安装操作系统的方法 对于使用Windows的普通用户来说,安装操作系统一般都是采用光盘安装的方式,不过对于13寸以下的便携小本来说,通常都没有配置光驱。其实,我们完全没有必要依赖光驱,通过U盘安装操作系统是一种非常实用的方式,下面分享两种使用U盘安装系统的方法。 方法1:使用Windows7 USB/DVD Download Tool制作安装盘 Windows7-USB-DVD-tool是微软官方发布的一个小工具,它可以非常简洁方便把U盘制作成Windows 7的安装盘。 首先我们先下载Windows7 USB/DVD Download Tool,然后安装;准备一个大于4GB的U盘,将其中的数据备份出来了,因为制作的过程中会将U盘格式化;最后在微软官方下载Windows 7的镜像文件,这些都准备好后,双击进入Windows7 USB/DVD Download Tool。 Windows7 USB/DVD 初始画面 进入Windows7 USB/DVD 初始画面后,单击Browse,选择刚才下载的Windows 7镜像文件,之后单击Next。

没有使用官方的Windows 7镜像会出问题 如果我们没有使用官方提供的Windows 7镜像,在单击Next之后会提示出错,在这里我们使用的是来自联想的一张系统盘,操作无法进行。 顺利进入第二步 改用官方的Windows 7镜像后,则会进入第二步,在这里我们可以选择制作的安装盘的方式,我们可以选择U盘和DVD光盘两种介质,在这里我们选择USB devcie。 如果我们以插上U盘,则会正确识别 这个画面是U盘已经被成功识别,如果没有检测出来,点选设备下拉菜单选项后面的刷新图标可以进行刷新检测,一般状况下可以正常识别出来,选择您需要制作为安装盘的U盘,点击右下角的Begin Copying,软件就开始制作了。

Win7与WinXP独立双系统安装方法

Windows 7与Windows XP双系统安装方法汇总 Win7下安装XP XP下安装Win7 独立双系统 随着Windows 7(以下简称Win7)时代的到来,很多朋友都非常关心如何安装Win7和XP双系统,特别是如何在Win7下安装XP系统。本文将为大家介绍三种Win7与XP的双系统安装方案,相信一定有适合你的方案。我们的目标是:让Win7+XP和谐共处! 方案1:传统双系统方案——Win7下安装XP 方案2:传统双系统方案——XP下安装Win7 方案3:最佳方案——独立双系统安装 方案1 传统双系统方案——Win7下安装XP 相信很多买了新电脑的用户都是预装的Win7操作系统,但同时也还需要用到XP系统。因此就需要在Win7当中安装XP。 方法一用XP安装光盘全新安装 首先,需要准备一个用于安装XP系统的主分区。建议在Win7系统中,用磁盘管理器创建一个容量不小于10GB的主分区(如图1所示)。然后用Win XP安装光盘启动电脑并进行安装即可,安装方法与安装XP系统类似。 需要注意的问题:

1. 由于Win7已默认地占用了两个分区(100MB隐藏的系统保留分区及系统分区),为此,在选择安装位置时,请根据实际情况选择分区(如图2所示)。 2. 由于该分区是在Win7当中创建的,因此XP安装程序无法识别,所以还需要在下一步中再次进行格式化操作。 安装完成后,将只能进入XP系统,这时就需要进一步的手动配置,以恢复启动菜单。 用Win7安装光盘启动电脑,当进行到“现在安装”一步时,选择“修复计算机”,直到出现“系统恢复选项”菜单(如图3所示)。

选择“命令提示符”,接着在弹出的命令提示符窗口中依次输入以下命令(每输入一条按一下回车,若屏幕上返回“操作成功完成”的信息,则继续输入下一条命令,否则请检查刚才的输入是否有误并重新输入): bcdedit /create {ntldr} -d "Windows XP" bcdedit /set {ntldr} device partition=D: bcdedit /set {ntldr} path \ntldr bcdedit /displayorder {ntldr} /addlast 全部命令运行后重新启动电脑,即可出现启动菜单(注:其中“D:”为XP所在分区,请根据实际情况修改)。 方法二利用Ghost镜像安装 相信很多用户都有制作Ghost镜像光盘进行备份和系统安装的习惯。相对利用XP安装光盘进行安装,利用XP的Ghost镜像文件进行安装,就要简单一些了。只需要在恢复XP 系统后配置启动菜单即可。 与上面的方法一样,首先在Win7下准备一个用于恢复XP的主分区。然后用相应的工具光盘启动电脑,并将XP的Ghost镜像文件恢复到刚才准备好的主分区之中。 完成后,暂时没有启动菜单,只能启动到Win7下。不要紧,以系统管理员身份进入Win7后,将XP分区中的“ntldr”、“boot.ini”、“https://www.docsj.com/doc/90895659.html,”三个文件(全部在XP系统根目录下)拷贝到Win7的分区中。接着运行命令提示符,输入上文的4条命令即可。 小结:在Win7中安装XP,给人最深的感受莫过于“倒行逆施”,安装的难度也比较高,因此建议普通用户在有一定电脑基础的用户指导下安装。此外,文中无法罗列所有可能发生的情况,但对于偶尔出现的一些莫名其妙的错误(如Win7无法启动等),一般都可以利用Win7的安装光盘进行修复。

关于Modelsim在win7系统上的安装教程

2012.05.12 Xin飞扬 学习FPGA,不可避免的要用到仿真。仿真软件,我们可以选择Qii自带的波形仿真软件,也可以使用Modelsim来进行。在这里我将讲述如何在win7系统下安装Modelsim。 本人多次都没有安装成功,最后历经千辛万苦,终于成功了。在这里和大家分享一下安装的经验。 以下是图文介绍 在这里我安装的是Modelsim6.5g,如果有不同其他版本的安装也可参考此教程 一:软件安装: 首先双击modelsim‐win32‐6.5g‐se.exe,运行此程序(注意防火墙或者杀毒软件的拦截问题) 出现如下界面(图1) 我的电脑安装过程中(图1) 点击next , 直到安装路径的选择,自己选择安装路径(图2)(如果提示需要新建文件夹的话,选择Yes, 选择好安装路径后,点击next

2012.05.12 Xin飞扬 出现下面界面 点击agree(否则无法安装),然后等待安装的进行。安装到50%后会出现让你选择是否添加

2012.05.12 Xin飞扬 到桌面快捷方式,界面如下。。 如果需要的话,选择Yes ,桌面会出现下面的快捷方式图标 选择是否添加快捷方式后,再次出现是否将Modelsim的路径添加到你的路径(Start菜单吧)里面 下面是路径选择截图 最后会提示你是否添加硬件安全钥匙驱动,在这里我们选择No(因为我们自己会设置license 的)

2012.05.12 Xin飞扬 到这里,软件安装结束。接下来将是软件的破解了(破解是很重要的哦)。 (点击完done之后可能出现如下界面。暂时不要关闭) (在弄完license之后,会用到这个的) 二:软件破解 1:首先生成license文件。 运行mentorKG。Exe文件,生成license.txt文件,这里需要将其后缀改为license.dat。然后将其放到如下地方,就是你的安装路径:\modeltech_6.5g\win32\LICENSE.dat。

相关文档
相关文档 最新文档