文档视界 最新最全的文档下载
当前位置:文档视界 › 《数字逻辑》(第二版)习题答案

《数字逻辑》(第二版)习题答案

《数字逻辑》(第二版)习题答案
《数字逻辑》(第二版)习题答案

第一章

1. 什么是模拟信号?什么是数字信号?试举出实例。

模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压力、交流电压等信号。

数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或

者说是离散的,这类信号有时又称为离散信号。例如,在数

字系统中的脉冲信号、开关状态等。

2. 数字逻辑电路具有哪些主要特点?

数字逻辑电路具有如下主要特点:

●电路的基本工作信号是二值信号。

●电路中的半导体器件一般都工作在开、关状态。

●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低

廉、使用方便、通用性好。

●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可

靠性好。

3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么?

根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路输入值的组合,而与电路过去的输入值无关。组合逻辑电路又可根据

输出端个数的多少进一步分为单输出和多输出组合逻辑电路。时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输入值有关,而且与电路过去的输入值有关。时序逻辑电路又可根据电

路中有无统一的定时信号进一步分为同步时序逻辑电路和异

步时序逻辑电路。

4. 最简电路是否一定最佳?为什么?

一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能

指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。

5. 把下列不同进制数写成按权展开形式。

(1) (4517.239)10 (3) (325.744)8

(2) (10110.0101)2 (4) (785.4AF)16

解答(1)(4517.239)10 = 4×103+5×102+1×101+7×100+2

×10-1+3×10-2+9×10-3

(2)(10110.0101)2= 1×24+1×22+1×21+1×2-2+1×2-4

(3)(325.744)8 = 3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 (4) (785.4AF)16 = 7×162+8×161+5×160+4×16-1+10×16-2+15

×16-3

6.将下列二进制数转换成十进制数、八进制数和十六进制数。

(1)1110101 (2) 0.110101 (3) 10111.01

= 1×26+1×25+1×24+1×22+1×20解答(1)(1110101)

2

= 64+32+16+4+1

=(117)10

(00 1 1 1 0 1 0 1 )2

8

(2

(16

即:(1110101)2=(117)10 =(165)8 =(75)16

(2) (0.110101) 2 = 1×2-1+1×2-2+1×2-4+1×2-6

= 0.5+0.25+0.0625+0.015625

=(0.828125)10

(0.1 10 1 0 1 )2

(0)8

(2

()16

即:(0.110101)2 =(0.828125)10 =(0.65)8 =(0.D4)16 (3)(10111. 01)2 =1×24+1×22+1×21+1×20+1×2-2

=16+4+2+1+0.25

=(23. 25)10

)2

)8

(0001 0111. 0100)2

(16

即:(10111.01)2=(23.25)10 =(27.2)8 =(17.4)16 7.将下列十进制数转换成二进制数、八进制数和十六进制数(精确到小数点后4位)。

(1) 29 (2) 0.27 (3) 33.33

解答(1) (29)10 = 24+23+22+20 = (11101)2

= ( 011 101 )2 = (35)8

= (0001 1101 )2 = (1D)16

(2) (0.27)10 ≈2-2+2-6 = (0.010001)2

= ( 0.010 001 )2 = (0.21 )8

= ( 0.0100 0100 )

2 = (0.44)

16

(3)(33.33)10=(?)2 =(?)8 =(?)16

即:(33.33)10 =(100001.0101)2 = (41.24)8= (21.5)16 8.如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除?

解答 B = b

6 b

5

b

4

b

3

b

2

b

1

b

= b6 ×26+b5 ×25+b4 ×24+b3×23 +b2×22+ b1 ×21+b0×20 =( b6 ×24+b5 ×23+b4 ×22+b3×21+b2) ×22+ b1 ×21+b0×20

可见,只需b1=b0=0即可。

9.写出下列各数的原码、反码和补码。

(1) 0.1011 (2) –10110 解答(1) 由于0.1011为正数,所以有

原码 = 补码 = 反码 = 0.1011

(2)由于真值= -10110 为负数,所以有

原码 = 1 1 0 1 1 0 (符号位为1,数值位与真值相同)

反码 = 1 0 1 0 0 1 (符号位为1,数值位为真值的数值位按位变反)

补码 = 1 0 1 0 1 0 (符号位为1,数值位为真值的数值位按位变反,末位加1)

10.已知[N ]补=1.0110,求[N ]原,[N ]反和N 。

解答 [N] 反码 = 1.0101 (补码的数值位末位减1)

[N] 原码 = 1.1010 (反码的数值位按位变反)

N = -0.1010 (原码的符号位1用“-”表示)

11.将下列余3码转换成十进制数和2421码。 (1) 011010000011 (2) 01000101.1001

解答

(1)( 0110 1000 0011)余3码 =350)10 =(0011 1011 0000)2421 (2) ( 0100 0101.1001) 余3码 =(12.6)10 =(0001 0010.1100)2421 12. 试用8421码和格雷码分别表示下列各数。 (1) (111110)2 (2) (1100110)2

解答(1) (111110)2 = (62) 10

= (0110 0010) 8421 = (100001) Gray

(2) 2 = (102) 10

= (0001 0000 0010) 8421

= (1010101) Gray

第二章

1 假定一个电路中,指示灯F和开关A、B、C的关系为

F=(A+B)C 试画出相应电路图。

解答

电路图如图1所示。

图1

2 用逻辑代数的公理、定理和规则证明下列表达式:

(1) C

AB+

=

+

A

A

B

A

C

(2) 1

B

B

A

AB

A

=

+

+

+B

A

(3)C

A

ABC

B

+

=

A+

AB

C

B

A

C

(4)C A

ABC+

+

A

=

+

B

C

B

A

C

B

(1) 证明如下

C

A B A C B C A B A )C )(A B A (C

A A

B

C A AB +=++=++=?=+

(2) 证明如下

1

A A )

B (B A )B A(B B A B A B A AB =+=+++=+++

(3) 证明如下

C

AB C B A C B A C AB C B A C B A C B A B)B (C A C)C (B A C

A B A )

C B A A(ABC A ++=+++=+++=+=++=

(4)证明如下

C

B A AB

C )C (A BC)C A B A ( )C (A C)B (B)A ( C

A C

B B A

C A C B B A ??+=+?++?=+?+?+=??=++

3 用真值表验证下列表达式:

(1) ()()B A B A B A B A +?+=+ (2) ()()B A AB B A B A +=+?+

(1) 真值表证明如表1所示。 表1

A B B A B A B A + A+B B A B A + B))(A B A (++ 0 0 0 0 1 0 0 0 0 1 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 1 0

1

(2) 真值表证明如表2所示。 表2 A B B A AB B A + A+B AB B A + B))(A B A (++

0 0 1 0 1 0 0 0 0 1 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1 1 0

1

1

4 求下列函数的反函数和对偶函数:

(1) B A AB F +=

(2) ()()()E DE C C A B A F ++?+?+= (3))

)((AC D C B A F ++=

(4)

()[]

G E D C B A F ?++=

(1) B))(A B A (F ++=

)B A B)((A F '++=

(2) E )]E D (C C A B A [F ?+++?= E E)]C(D C A [AB F'?+++= (3) )C A D (C B A F +++= )C A C(D B A F'+++= (4) ]G D)E C B[(A F +++=

G ]E )D [(C B A F +++=,

5 回答下列问题:

(1) 如果已知X + Y 和 X + Z 的逻辑值相同,那么Y 和 Z 的逻辑值一定相同。正确吗?为什么?

(2) 如果已知XY 和XZ 的逻辑值相同,那么那么Y 和 Z 的逻辑值一定相同。正确吗?为什么?

(3)如果已知X + Y 和 X + Z 的逻辑值相同,且XY 和XZ 的逻辑值相同,那么Y = Z 。正确吗?为什么?

(4) 如果已知X+Y 和 X ·Y 的逻辑值相同,那么X 和Y 的逻辑值一定相同。正确吗?为什么? 解答

(1) 错误。因为当X=1时,Y ≠Z 同样可以使等式X + Y = X + Z 成立。

(2) 错误。因为当X=0时,Y ≠Z 同样可以使等式XY = XZ 成立。

(3) 正确。因为若Y ≠Z ,则当X=0时,等式X + Y = X + Z 不可能成立;当

X=1时,等式XY = XZ 不可能成立;仅当Y=Z 时,才能使X+Y = X+Z 和 XY = XZ 同时成立。

(4) 正确。 因为若Y ≠Y ,则X+Y=1,而 X ·Y=0,等式X + Y = X ·Y 不成立。

6 用代数法求出下列逻辑函数的最简“与-或”表达式。

(1) BC C B A AB F ++= (2) BCD B B A F ++=

(3) ()()()C B A B A C B A F ++?+?++= (4) ()()

B A

C C B

D D BC F +?+?++=

解答(1)

C

A A

B B

C C A AB B)C A (AB B)C B A (AB BC C B A AB F +=++=++=++=++=

(2)

B

A B B A BCD

B B A F +=+=++=

(3)

()()()

B

B)

A (B)(A C

B A B A

C B A F =+?+=++?+?++=

(4)

()

()AC D B B AC D BC B)

(AC BC D BC B)

)(AC C B (D BC B AC C B D D BC F ++=+++=+++=++++=+?+?++=

7. 将下列逻辑函数表示成“最小项之和”形式及“最大项之积”的简写形式。

(1) ()BC D C AB B A D C B D C B A F +++=,,, (2) ())(,,,CD B ABD B A D C B A F +++=

解答 (1)

()∏∑==++++++++++=++++++++++=++++++++++=+++=8,9,10,11)

M(0,1,2,3,D)C,B,F(A,5)

12,13,14,1m(4,5,6,7,m m m m m m m m m m m ABCD D ABC BCD A D BC A D C AB BCD

A D BC A D C

B A D

C B A

D C AB D C B A AD)BC

D A D A D A ( D

C AB CD)

D C D C D C B(A D C A)B A ( BC

D C AB B A D C B D C,B,A,F 15

1476137654124

(2)

()∏∑==

+++++++++++++++++++=+++++++++++++++++++=+++++++++++++++++++=+++=+++++=+++++=++??=+++=M(0,1,2)

15)

~m(3m m m m m m m m m m m m m m m m m m m m ABCD CD B A BCD A CD B A ABCD D ABC D C AB D C AB BCD A D BC A D C B A D C B A D ABC D C AB D B A D C B A CD B A D C B A D C B A D C B A AB)

B A B A B A CD(ACD)D A

C

D C A D C A CD A D C A D C A D C A B(BC)C B C B C B (D A CD)D C D C D C (B A CD

B D A B A CD B D B D A B A B A CD B )D B A B)((A CD B ABD B A CD)

(B ABD B A D C,B,A,F 15

11731514131276541412108111098C 8 用卡诺图化简法求出下列逻辑函数的最简“与-或”表达式和最简“或-与”表达式。

(1) C B AC D C A B A D C B A F +++=),,,(

(2) )()(),,,(B AD C B D D BC D C B A F +?+?++= (3) ∏=)15,14,13,12,11,10,6,4,2(),,,(M D C B A F

解答(1)函数C B AC D C A B A D C B A F +++=),,,( 的卡诺图如图2所示。

图2

(最简与-或式)

(最简或-与式)

(2)函数)()(),,,(B AD C B D D BC D C B A F +?+?++=的卡诺图如图3所示。

D

C B

D BC B)AD )(D C D B (D BC B)(AD )C B (D D BC D)C,B,F(A,++=+?+?++=+?+?++=

图3

F(A,B,C,D) = B + D (既是最简与-或式,也是最简或-与式)

(3)函数∑∏==7,8,9)m(0,1,3,5,14,15),11,12,13,M(2,4,6,10

D)C,B ,F(A,

的卡诺图如图4所示。

图4 C B D A D)

C,B,F(A,?+= (最簡与 - 或式)

D)

C D)(B )(C A )(B A (D)C,B,F(A,D

C D B AC AB D)C,B,(A,F ++++=+++= (最簡或-与式)

9 用卡诺图判断函数F(A ,B ,C ,D)和G(A ,B ,C ,D)有何关系?

(1) D AC D C D A D B D C B A F +++=),,,(

ABD D C A CD D B D C B A G +++=),,,(

(2) C B A B A C B A B A D C B A F ?++?+=)()(),,,(

ABC C B A AC BC AB D C B A G +++?++=)(),,,(

解答(1)作出函数F 和G 的卡诺图分别如图5、图6所示。

图5 图6 由卡诺图可知, F 和G 互为反函数,即:G F ,G F == (2)作出函数F 和G 的卡诺图分别如图7、图8所示。

AB

CD 00 01 11

10 11 01 00

1 1 1

1 1 1 1 10 AB CD

00 01

11 10 11 01 00 1

1 1

1

1

1

1 1

10

AB CD 11 01 00 00 01 11 10

1

1

1 1 1 1

1 1

10

图7 图8 由卡诺图可知, F 和G 相等,即:G F = 10 某函数的卡诺图如图9所示 .

图9

(1) 若a b =,当a 取何值时能得到最简的“与-或”表达式?

(2) a 和b 各取何值时能得到最简的“与-或”表达式? 解答(1)当a b =时,令a=1,b=0能得到最简“与-或”表达式:

D C A D C C B F ++=(3项)

(2) 当a=1,b=1时,能得到最简的“与-或”表达式:

C A

D C C B F ++= (3项)

11 用列表法化简逻辑函数

∑=)15,13,11,10,8,7,5,3,2,0(),,,(m D C B A F

解答

CD

D B BD D)C,B,F(A,++= 或者 C D B BD D)C,B,F(A,B ++=

AB CD 00 01

11

10 11 01 00 1 1

1

1 1 1

1

1 10

AB CD 00 01

11

10 11 01 00 1 1

1

1 1 1

1

1 10

第三章

9. 图1(a)所示为三态门组成的总线换向开关电路,其中,A 、B 为信号输入端,分别送两个频率不同的信号;EN为换向控制端,控制电平波形如图(b)所示。试画出Y1、Y2的波形。

图1 电路图及有关信号波形

解答

图中,EN=0:Y1 =A, Y2 =B;EN=1: Y1 =B , Y2 =A。

据此,可做出Y

1、Y

2

的波形图如图2所示。

图2 10. 试画出实现如下功能的CMOS电路图。

(1) C B A F ??=

(2) B A F += (3) D

C B A F ?+?=

解答(1)实现C B A F ??= 的CMOS 电路图如图3所示。

图3

(2)实现B A F

+=的CMOS 电路图如图4所示。

图4

(3)实现D C B A F ?+?=的CMOS 电路图如图5所示。

图5

11. 出下列五种逻辑门中哪几种的输出可以并联使用。

(1) TTL集电极开路门;

(2) 普通具有推拉式输出的TTL与非门;

(3) TTL三态输出门;

(4) 普通CMOS门;

(5) CMOS三态输出门。

解答上述五种逻辑门中,TTL集电极开路门、TTL三态输出门和C M OS三态输出门的输出可以并联使用。

12.用与非门组成的基本R-S触发器和用或非门组成的基本R-S触发器在逻辑功能上有什么区别?

解答与非门组成的基本R-S触发器功能为:

R=0,S=0,状态不定(不允许出现);

R=0,S=1, 置为0状态;

R=1,S=0, 置为1状态;

R=1,S=1,状态不变。

或非门组成的基本R-S触发器功能为:

R=0,S=0,状态不变;

R=0,S=1, 置为1状态;

R=1,S=0, 置为0状态;

R=1,S=1,状态不定(不允许出现)。

13.在图6(a)所示的D触发器电路中,若输入端D的波形如图6(b)所示,试画出输出端Q的波形(设触发器初态为0)。

图6 电路图及有关波形

解答

根据D触发器功能和给定输入波形,可画出输出端Q的波形如

图7所示。

图7

14. 已知输入信号A和B的波形如图8(a)所示,试画出图8 (b)、( c)

中两个触发器Q端的输出波形,设触发器初态为0。

图8 信号波形及电路

解答

根据给定输入波形和电路图,可画出两个触发器Q端的输出波形Q D、Q T如图9所示。

图9 输出波形图

15. 设图10 (a)所示电路的初始状态Q1 = Q2 = 0,输入信号及CP端的波形

如图10(b)所示,试画出Q1、Q2的波形图。

图10 电路及有关波形

解答

根据给定输入波形和电路图,可画出两个触发器输出端Q

1、Q

2

的波形如图11

所示。

图11

16 试用T 触发器和门电路分别构成D 触发器和J-K 触发器。

解答 (1)采用次态方程联立法,分别写出T 触发器和D 触发器的次态方程

如下:

T 触发器的次态方程: Q T Q T

Q

1)

(n +=+

D 触发器的次态方程:

Q

Q D Q Q)(D )Q Q D (DQ Q Q)D Q (D Q Q D DQ Q Q D Q D Q)

Q D(D

Q 1)(n ?⊕+⊕=+++=+++=+==+

比较上述两个方程可得Q D T

⊕= ,据此可画出用T 触发器和

一个异或门构成D 触发器的电路图如图12(a )所示。

(1) 采用次态方程联立法,分别写出T 触发器和JK 触发器的次态方程

如下:

T 触发器的次态方程: Q T Q T Q

1)

(n +=+

JK 触发器的次态方程:

Q

KQ Q J Q KQ)Q (J )Q Q K Q)(J (Q J )Q Q Q Q K Q J K J (Q J Q

K Q K J Q J Q

K Q J Q 1)(n ?+++=+++=++++=++=+=+ 比较上述两个方程可得KQ

Q J T

+= ,据此可画出用T 触发器

和三个逻辑门构成JK 触发器的电路图如图12(b )所示。

图 12

第四章

1.分析图1所示的组合逻辑电路,说明电路功能,并画出其简化逻辑电路图。

图1 组合逻辑电路

解答

○1根据给定逻辑电路图写出输出函数表达式

C

ABC

B

ABC

A

ABC

F?

+

?

+

?

=

○2用代数法简化输出函数表达式

C

B

A ABC C

B

A ABC C)

B

(A ABC

C

ABC

B

ABC

A

ABC

F

+ =

+ +

+ =

+ +

=

?

+

?

+

?

=

○3由简化后的输出函数表达式可知,当ABC取值相同时,即为000或111时,输出函数F的值为1,否则F的值为0。故该电路为“一致性电路”。○4实现该电路功能的简化电路如图2所示。

图2

数字逻辑实验指导书(multisim)(精)

实验一集成电路的逻辑功能测试 一、实验目的 1、掌握Multisim软件的使用方法。 2、掌握集成逻辑门的逻辑功能。 3、掌握集成与非门的测试方法。 二、实验原理 TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic 简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55— ±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。 图1.1 TTL 基本逻辑门电路 与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。 三、实验设备

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

新视野大学英语第二册(第二版)课后翻译原题与答案

01. 她连水都不愿喝一口,更别提留下来吃饭了。 She wouldn't take a drink, much less would she stay for dinner. 02. 他认为我在对他说谎,但实际上我讲的是实话。 He thought I was lying to him, whereas I was telling the truth. 03. 这个星期你每天都迟到,对此你怎么解释? How do you account for the fact that you have been late every day this week? 04. 他们利润增长,部分原因是采用了新的市场策略。 The increase in their profits is due partly to their new market strategy. 05. 这样的措施很可能会带来工作效率的提高。 Such measures are likely to result in the improvement of work efficiency. 06. 我们已经在这个项目上投入了大量时间和精力,所以我们只能继续。 We have already poured a lot of time and energy into the project, so we have to carry on. 07. 尽管她是家里的独生女,她父母也从不溺爱她。 Despite the fact that she is the only child in her family, she is never babied by her parents. 08. 迈克没来参加昨晚的聚会,也没给我打电话作任何解释。 Mike didn't come to the party last night, nor did he call me to give an explanation. 09. 坐在他旁边的那个人确实发表过一些小说,但决不是什么大作家。 The person sitting next to him did publish some novels, but he is by no means a great writer. 10. 他对足球不感兴趣,也从不关心谁输谁赢。 He has no interest in football and is indifferent to who wins or loses. 11. 经理需要一个可以信赖的助手,在他外出时,由助手负责处理问题。 The manager needs an assistant that he can count on to take care of problems in his absence. 12. 这是他第一次当着那么多观众演讲。 This is the first time that he has made a speech in the presence of so large an audience. 13. 你再怎么有经验,也得学习新技术。 You are never too experienced to learn new techniques. 14. 还存在一个问题,那就是派谁去带领那里的研究工作。(Use an appositional structure.) There remains one problem, namely, who should be sent to head the research there. 15. 由于文化的不同,他们的关系在开始确实遇到了一些困难。 Their relationship did meet with some difficulty at the beginning because of cultural differences. 16. 虽然他历经沉浮,但我始终相信他总有一天会成功的。 Though he has had ups and downs, I believed all along that he would succeed someday. 17. 我对你的说法的真实性有些保留看法。 I have some reservations about the truth of your claim. 18. 她长得并不特别高,但是她身材瘦,给人一种个子高的错觉。 She isn't particularly tall, but her slim figure gives an illusion of height. 19. 有朋自远方来,不亦乐乎?(Use "it" as the formal subject.) It is a great pleasure to meet friends from afar. 20. 不管黑猫白猫,能抓住老鼠就是好猫。(as long as) It doesn't matter whether the cat is black or white as long as it catches mice. 21. 你必须明天上午十点之前把那笔钱还给我。 You must let me have the money back without fail by ten o'clock tomorrow morning. 22. 请允许我参加这个项目,我对这个项目非常感兴趣。 Allow me to take part in this project: I am more than a little interested in it. 23. 人人都知道他比较特殊:他来去随意。(be free to do sth.) Everyone knows that he is special: He is free to come and go as he pleases. 24. 看她脸上不悦的神色,我似乎觉得她有什么话想跟我说。 Watching the unhappy look on her face, I felt as though she wished to say something to me. 25. 他说话很自信,给我留下了很深的印象。(Use "which" to refer back to an idea or situation.)

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

新视野大学英语4册第二版课后习题答案.doc

新视野大学英语(第2版)第4册Unit 1答案 III. 1. idle 2. justify 3. discount 4. distinct 5. minute 6.accused 7. object 8. contaminate 9. sustain 10. worship IV. 1. accusing... of 2. end up 3. came upon 4. at her worst 5. pa: 6. run a risk of 7. participate in 8. other than 9. object to/objected V 1. K 2. G 3. C 4. E 5. N 6.0 7.1 8. L 9. A 10. D Collocation VI. 1. delay 2. pain 3. hardship 4. suffering 5. fever 6. defeat 7. poverty 8. treatment 9. noise 10. agony Word building VII. 1. justify 2. glorify 3. exemplifies 4. classified 5. purified 6. intensify 7. identify 8. terrified VIII. 1. bravery 2. jewelry 3. delivery 4. machinery 5. robbery 6. nursery 7. scenery 8. discovery sentence Structure IX. 1. other than for funerals and weddings 2. other than to live an independent life 3. other than that they appealed to his eye . . ` 4. but other than that, he'll eat just about everything . 5. other than that it's somewhere in the town center X. 1. shouldn't have been to the cinema last night 2. would have; told him the answer 3. they needn't have gone at all 4. must have had too much work to do 5. might have been injured seriously XIII. 1 .B 2.A 3.C 4.D 5. B 6.A 7.B 8.A 9. C 10.A II.D 12.C 13. D 14.A 15. C 16.D 17.B 18.C I9. A 20.D 新视野大学英语(第2版)第4册Unit 2答案 Section A Comprehension o f the text 1. He lived a poor and miserable life during his childhood. 2. Because no one in Britain appeared to appreciate his talent for comedy. His comic figures did not conform to British standards. 3. Because his dress and behavior didn't seem that English. 4. It was the first movie in which Chaplin spoke. 5. He used his physical senses to invent his art as he went along without a prepared script. 6. His transformation of lifeless objects into other kinds of objects, plus the skill with which he executed it again and again. 7. She brought stability and happiness to him and became a center of calm in his family. 8. Comic. Vocabulary III. 1. coarse 2. betrayed 3. incident 4. postponed 5. execute 6. surrounding 7. applause 8. extraordinary 9. clumsy 10. sparked IV. 1. for 2. against 3. up 4. about 5. up 6. to 7. down 8. down 9. in 10. on V. l. I 2.J 3.B 4.D 5.E 6.G 7.F 8.L 9.N 10.A Collocation
VI. 1. service 2. help/hand 3. influence 4. guarantee 5. visit 6. span . 7. welcome 8. spirit 9. duties 10. buildings Word Building

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

新视野大学英语册第二版课后习题答案全解

Unit 1答案2版)第4册新视野大学英语(第4. but other than that, he'll eat just about everything . 5. other than that it's somewhere in the town center III. X. 1. idle 2. justify 3. discount 4. distinct 5. minute 1. shouldn't have been to the cinema last night 6.accused 7. object 8. contaminate 9. sustain 10. worship told him the answer 。2. would haveIV. 3. they needn't have gone at all 1. accusing... of 2. end up 3. came upon 4. at her worst 5. pa: 4. must have had too much work to do 6. run a risk of 7. participate in 8. other than 9. object to/objected 5. might have been injured seriously 1. 这种植物只有在培育它的土壤中才能很好地成长。Collocation The plant does not grow well in soils other than the one in which it has been VI. developed. 1. delay 2. pain 3. hardship 4. suffering 5. fever 研究结果表明,无论我们白天做了什么事情,晚上都会做大约两个小时2. 6. defeat 7. poverty 8. treatment 9. noise 10. agony 的梦。Word building Research findings show that we spend about two hours dreaming every night, VII. no matter what we may have done during the day. 1. justify 2. glorify 3. exemplifies 4. classified 有些人往往责怪别人没有尽最大努力,以此来为自己的失败辩护。3. 5. purified 6. intensify 7. identify 8. terrified Some people tend to justify their failure by blaming others for not trying their VIII. best. 1. bravery 2. jewelry 3. delivery 4. machinery 我们忠于我们的承诺:凡是答应做的,我们都会做到。4. 5. robbery 6. nursery 7. scenery 8. discovery We remain true to our commitment: Whatever we promised to do, we would sentence Structure do it. 连贝多芬的父亲都不相信自己儿子日后有一天可能成为世界上最伟大的5. IX. 音乐家。爱迪生也同样如此,他的老师觉得他似乎过于迟钝。1. other than for funerals and weddings Even Beethoven's father discounted the possibility that his son would one day 2. other than to live an independent life become the greatest musician in the world. The same is true of Edison, who 3. other than that they appealed to his eye . . ` 1 / 7 seemed to his teacher to be quite dull. sentence structure 当局控告他们威胁国家安全。6. They were accused by the authorities of threatening the state security. X. 1. it is a wonder to find

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

相关文档
相关文档 最新文档