文档视界 最新最全的文档下载
当前位置:文档视界 › 半导体FAB里基本的常识简介

半导体FAB里基本的常识简介

半导体FAB里基本的常识简介
半导体FAB里基本的常识简介

CVD

晶圆制造厂非常昂贵的原因之一,是需要一个无尘室,为何需要无尘室答:由于微小的粒子就能引起电子组件与电路的缺陷何谓半导体?

答:半导体材料的电传特性介于良导体如金属( 铜、铝,以及钨等)和绝缘和橡胶、塑料与干木头之间。最常用的半导体材料是硅及锗。半导体最重要的性质之一就是能够藉由一种叫做掺杂的步骤刻意加入某种杂质并应用电场来控制其之导电性。

常用的半导体材料为何

答:硅(Si) 、锗(Ge)和砷化家(AsGa)

何谓VLSI

答:VLSI(Very Large Scale Integration) 超大规模集成电路在半导体工业中,作为绝缘层材料通常称什幺答:介电质(Dielectric) 薄膜区机台主要的功能为何答:沉积介电质层及金属层

(Undoped Silicate Glass)

何谓FSG?

(Fluorinated Silicate Glass)

答:Tetraethoxysilane 用途为沉积二氧化硅TEOS 在常温时是以何种形态存在?

答:液体二氧化硅其K 值为 3.9 表示何义答:表示二氧化硅的介电质常数为真空的 3.9 倍氟在CVD 的工艺上,有何应用答:作为清洁反应室(Chamber) 用之化学气体简述Endpoint detector 之作用原理.

答:clean 制程时,利用生成物或反应物浓度的变化, 因其特定波长光线被detector 侦测

到强度变强或变弱,当超过某一设定强度时, 即定义制程结束而该点为endpoint.

机台使用的管件材料主要有那些?

答:有不锈钢制(Stainless Steal), 黄铜制(Brass), 塑胶制(PVC),特氟隆制(Teflon) 四种.

(Interlock)

答:机台上interlock 有些属于保护操作人员的安全,有些属于水电气等规格讯号,用以保护机台.

机台设定许多interlock 有何作用?

答:机台上interlock 主要避免人员操作错误及防止不相关人员动作.

Wafer Scrubber 的功能为何? 答:移除芯片表面的污染粒子

ETCH 何谓蚀刻(Etch)? 答:将形成在晶圆表面上的薄膜全部,或特定处所去除至必要厚度的制程。

答:氧化硅/ 氮化硅

何谓湿式蚀刻答:利用液相的酸液或溶剂; 将不要的薄膜去除何谓电浆Plasma?

答:电浆是物质的第四状态.带有正,负电荷及中性粒子之总和;其中包含电子,正离子,负离子,中性分子,活性基及发散光子等,产生电浆的方法可使用高温或高电压.

何谓干式蚀刻?

晶圆

答:利用 plasma 将不要的薄膜去除 何谓 Under-etching( 蚀刻不足 )? 答:系指被蚀刻材

料,在被蚀刻途中停止造成应被去除的薄膜仍有残留 何谓 Over-etching( 过蚀刻 ) 答:蚀刻过多造成底层被破坏 何谓 Etch rate( 蚀刻速率 ) 答:单位时间内可去除的蚀刻材料厚度或深度 何谓 Seasoning( 陈化处理 ) 答:是在蚀刻室的清净或更换零件后,为要稳定制程条件,使用仿真

( dummy ) 进行数次的蚀刻循环。

Asher 的主要用途 :

答:光阻去除

Wet bench dryer 功用为何 ? 答:将晶圆表面的水份去除 列举目前 Wet bench dry 方法 : 答:

(1) Spin Dryer (2) Marangoni dry (3) IPA Vapor Dry 何谓 Spin Dryer 答:利用离心力将晶圆表

面的水份去除

答:利用 IPA(异丙醇 )和水共溶原理将晶圆表面的水份去除 测 Particle 时 ,使用何种测量

仪器 ?

答:膜厚计 , 测量膜厚差值 何谓 AEI

答: After Etching Inspection 蚀刻后的检查

AEI 目检 Wafer 须检查哪些项目 :

答:(1) 正面颜色是否异常及刮伤 (2) 有无缺角及 Particle (3) 刻号是否正确 金属蚀刻机台转

非金属蚀刻机台时应如何处理 ?

答:清机防止金属污染问题 金属蚀刻机台 Asher 的功用为何 ?

答:去光阻及防止腐蚀

金属蚀刻后为何不可使用一般硫酸槽进行清洗

?

答:因为金属线会溶于硫酸中

"Hot Plate" 机台是什幺用途 ?

答:烘烤

Hot Plate 烘烤温度为何 ?

答: 90~120 度 C

何种气体为 Poly ETCH 主要使用气体 ? 答: Cl2, HBr, HCl

用于 Al 金属蚀刻的主要气体为

答: Cl2, BCl3

用于 W 金属蚀刻的主要气体为

答: SF6 何种气体为 oxide vai/contact ETCH 主要使用气体 ?

答: C4F8, C5F8, C4F6 硫酸槽的化学成份为 :

答: H2SO4/H2O2

AMP 槽的化学成份为 :

答: NH4OH/H2O2/H2O UV curing 是什幺用途 ?

答:利用 UV 光对光阻进行预处理以加强光阻的强度

"UV curing" 用于何种层次 ? 答:金属层 何谓 EMO?

答:机台紧急开关

EMO 作用为何 ? 答:当机台有危险发生之顾虑或已不可控制 ,可紧急按下

湿式蚀刻门上贴有那些警示标示 ?

答:(1) 警告 .内部有严重危险 .严禁打开此门 (2) 机械手臂危险 .

严禁打开此门 (3) 化

遇 IPA 槽着火时应如何处置 ?? 答:立即关闭 IPA 输送管路并以机台之灭火器灭火及通知紧急应变小组 BOE 槽之主成份为何 ?

答: HF(氢氟酸)与NH4F(氟化铵 ).

BOE 为那三个英文字缩写 ? 答: Buffered Oxide Etcher 。 有毒气体之阀柜 (VMB) 功用为何 ? 答:当有毒气体外泄时可利用抽气装置抽走 ,并防止有毒气体漏出

电浆的频率一般 13.56 MHz, 为何不用其它频率 ?

答 :为避免影 响通讯 品质 ,目 前只开 放特 定频率,作为产 生电浆之用 ,如

380~420KHz ,13.56MHz,2.54GHz 等 何谓 ESC(electrical static chuck) 答:利用静电吸附的原理 , 将

Wafer 固定在极板 (Substrate) 上 Asher 主要气体为

答:

O2

Asher 机台进行蚀刻最关键之参数为何

ORIENTER 之用途为何? 答:搜寻 notch 边 ,使芯片进反应腔的位置都固定 ,可追踪问题 简述 EPD 之功用 答:侦测蚀刻终点 ;End point detector 利用波长侦测蚀刻终点 何谓 MFC ? 答: mass flow controler 气体流量控制器 ; 用于控制 反应气体的流量 GDP 为何 ? 答:气体分配盘 (gas distribution plate)

GDP 有何作用? 答:均匀地将气体分布于芯片上方 何谓 isotropic etch? 答:等向性蚀刻 ; 侧壁侧向蚀刻的机率均等 何谓 anisotropic etch?

答:非等向性蚀刻 ; 侧壁侧向蚀刻的机率少 何谓 etch 选择比 ?

答:不同材质之蚀刻率比值

何谓 AEI CD? 答:蚀刻后特定图形尺寸之大小 ,特征尺寸 (Critical Dimension) 何谓 CD bias?

答:蚀刻CD 减蚀刻前黄光CD 简述何谓田口式实验计划法? 答:利用混合变因安排辅以统计归纳分析何谓反射功率?

答:蚀刻过程中,所施予之功率并不会完全地被反应腔内接收端所接受,会有部份值反射

掉, 此反射之量,称为反射功率

Load Lock 之功能为何?

答:Wafers 经由loadlock 后再进出反应腔,确保反应腔维持在真空下不受粉尘及湿度的影响.

厂务供气系统中何谓Bulk Gas ? 答:Bulk Gas 为大气中普遍存在之制程气体, 如N2, O2, Ar 等. 厂务供气系统中何谓Inert Gas?

机台维修时, 异常告示排及机台控制权应如何处理? 答:将告示牌切至异常且将机台控制权移至维修区以防有人误动作冷却器的冷却液为何功用?

答:传导热

Etch 之废气有经何种方式处理? 答:利用水循环将废气溶解之后排放至废酸槽何谓RPM?

答:即Remote Power Module, 系统总电源箱. 火灾异常处理程序

答:(1) 立即警告周围人员. (2) 尝试 3 秒钟灭火. (3) 按下EMO停止机台. (4) 关闭

VMB Valve 并通知厂务. (5) 撤离. 一氧化碳(CO) 侦测器警报异常处理程序答:(1) 警告周围人员.

(2) 按Pause 键,暂止Run 货. (3) 立即关闭VMB 阀,并通知厂务. (4) 进行测漏.

高压电击异常处理程序

答:(1) 确认安全无虑下,按EMO键(2) 确认受伤原因(误触电源,漏水等)(3) 处理受伤人员T/C ( 传送Transfer Chamber) 之功能为何?

(3)

答:(1) 首先确立chamber parts 组装完整(2) 以dry pump 作第一阶段的真空建立(3) 当圧力到达100mT D寺再以turbo pump 抽真空至1mT 以下

真空计的功能为何?

答:侦测chamber 的压力,确保wafer 在一定的压力下process

Transfer module 之robot 功用为何?

答:将wafer 传进chamber 与传出chamber 之用

何谓MTBC? (mean time between clean)

为何需要注意dry pump exhaust presure (pump 出口端的气压)?

答:因为气压若太大会造成pump 负荷过大;造成pump 跳掉,影响chamber 的压力, 直接影响到run 货品质

为何要做漏率测试? (Leak rate )

答:(1) 在PM 后PUMP Down 1~2 小时后;为确保chamber Run 货时,无大气进入chamble 影响chamber GAS 成份(2) 在日常测试时, 为确保chamber 内来自大气的泄漏源故需测漏

机台发生Alarm 时应如何处理?

答:(1) 若为火警,立即圧下EMO(紧急按钮),并灭火且通知相关人员与主管(2) 若是一般异常,请先检查alarm 讯息再判定异常原因,进而解决问题, 若未能处理应立即通知主要负责人蚀刻机台废气排放分为那几类? 答:一般无毒性废气/ 有毒酸性废气排放蚀刻机台使用的电源为多少伏特(v)?

答:208V 三相干式蚀刻机台分为那几个部份?

答:(1) Load/Unload 端(2) transfer module (3) Chamber process module (4) 真空系统(5) GAS system (6) RF system

PHOTO

PHOTO 流程?

答:上光阻→曝光→显影→显影後检查→ CD 量测→ Overlay 量测何为光阻?其功能为何?其分为哪两种?

答:Photoresist( 光阻). 是一种感光的物质,其作用是将Pattern 从光罩(Reticle) 上传递到Wafer 上的一种介质。其分为正光阻和负光阻。

何为正光阻?

答:正光阻,是光阻的一种,这种光阻的特性是将其曝光之后,感光部分的性质会改变,并在之后的显影过程中被曝光的部分被去除。

何为负光阻? 答:负光阻也是光阻的一种类型,将其曝光之后,感光部分的性质被改变,但是这种光阻的特性与正光阻的特性刚好相反,其感光部分在将来的显影过程中会被留下,而没有被感

光的部分则被显影过程去除。

什幺是曝光?什幺是显影?

答:曝光就是通过光照射光阻,使其感光;显影就是将曝光完成后的图形处理,以将图形清晰的显现出来的过程。

何谓Photo?

答:Photo=Photolithgraphy, 光刻,将图形从光罩上成象到光阻上的过程。

Photo 主要流程为何?

答:Photo 的流程分为前处理,上光阻,Soft Bake, 曝光,PEB,显影,Hard Bake 等。何谓PHOTO 区之前处理?

答:在Wafer 上涂布光阻之前,需要先对Wafer 表面进行一系列的处理工作,以使光阻能在后面的涂布过程中能够被更可靠的涂布。前处理主要包括Bake,HDMS 等过程。其

中通过Bake 将Wafer 表面吸收的水分去除,然后进行HDMS 工作,以使Wafer 表面更容易与光阻结合。

何谓上光阻?

答:上光阻是为了在Wafer 表面得到厚度均匀的光阻薄膜。光阻通过喷嘴( Nozzle )被喷涂在高速旋转的Wafer 表面,并在离心力的作用下被均匀的涂布在Wafer 的表面。何谓Soft Bake?

答:上完光阻之后,要进行Soft Bake ,其主要目的是通过Soft Bake 将光阻中的溶剂蒸发,并控制光阻的敏感度和将来的线宽,同时也将光阻中的残余内应力释放。

何谓曝光?

答:PEB 是在曝光结束后对光阻进行控制精密的B a k e的过程。其目的在于使被曝光的光阻进行充分的化学反应,以使被曝光的图形均匀化。

何谓显影?

答:显影类似于洗照片,是将曝光完成的Wafer 进行成象的过程,通过这个过程,成象在光阻

上的图形被显现出来。

何谓Hard Bake?

答:Hard Bake 是通过烘烤使显影完成后残留在Wafer 上的显影液蒸发,并且固化显影

完成之后的光阻的图形的过程。

何为BARC?何为TARC?它们分别的作用是什幺?

答:BARC=Bottom Anti Reflective Coating, TARC=Top Anti Reflective Coating. BARC 是被涂布在光阻下面的一层减少光的反射的物质,TARC 则是被涂布在光阻上表面的一层减少光的反射的物质。

他们的作用分别是减少曝光过程中光在光阻的上下表面的反射,以使曝光的大部分能量都被光阻吸收。

何谓I-line ?

答:曝光过程中用到的光,由Mercury Lamp(汞灯)产生,其波长为365nm ,其波长较长,

因此曝光完成后图形的分辨率较差,可应用在次重要的层次。

何谓DUV ?

答:曝光过程中用到的光,其波长为248nm ,其波长较短,因此曝光完成后的图形分辨率较好,用于较为重要的制程中。

I-line 与DUV 主要不同处为何?

答:光源不同,波长不同,因此应用的场合也不同。I-Line 主要用在较落后的制程(0.35 微米以上)或者较先进制程(0.35 微米以下)的Non-Critical layer 。DUV 则用在先进制程的Critical layer 上。

何为Exposure Field?

答:曝光区域,一次曝光所能覆盖的区域

何谓Stepper? 其功能为何?

答:一种曝光机,其曝光动作为Step by step 形式, 一次曝整个exposure field, 一个一个曝过去何谓Scanner? 其功能为何?

答:一种曝光机,其曝光动作为Scanning and step 形式, 在一个exposure field 曝光时, 先Scan 完整个field, Scan 完後再移到下一个field.

何为象差?

答:Energy( 曝光量), Focus( 焦距)。如果能量和焦距调整的不好,就不能得到要求的分辨率和要求大小的图形,主要表现在图形的CD 值超出要求的范围。因此要求在生产时要时刻维持最佳的能量和焦距,这两个参数对于不同的产品会有不同。

何为Reticle?

答:Reticle 也称为Mask,翻译做光掩模板或者光罩,曝光过程中的原始图形的载体,通过曝

光过程,这些图形的信息将被传递到芯片上。

何为Pellicle?

答:Pellicle 是Reticle 上为了防止灰尘(dust) 或者微尘粒子(Particle) 落在光罩的图形面上的一层保护膜。

何为OPC 光罩?

答:OPC (Optical Proximity Correction) 为了增加曝光图案的真实性,做了一些修正的光罩,例如,0.18 微米以下的Poly, Metal layer 就是OPC 光罩。

何为PSM 光罩?

答:PSM (Phase Shift Mask)不同于Cr mask, 利用相位干涉原理成象,目前大都应用

在contact layer 以及较小CD 的Critical layer (如AA ,POLY,METAL1)以增加图形的分辨率。

何为CR Mask ?

答:传统的铬膜光罩,只是利用光讯0 与 1 干涉成像, 主要应用在较不Critical 的layer 光罩编号各位代码都代表什幺?

答:例如003700-156AA-1DA, 0037 代表产品号,00 代表Special code,156 代表layer ,A 代表客户版本,后一个A代表SMIC 版本,1代表FAB1,D代表DUV(如果是J,则代表I-line),A 代表ASML 机台(如果是C,则代表Canon 机台)

光罩室同时不能超过多少人在其中?

答:2 人,为了避免产生更多的Particle 和静电而损坏光罩。存取光罩的基本原则是什幺?

答:(1) 光罩盒打开的情况下,不准进出Mask Room, 最多只准保持 2 个人(2) 戴上手套(3) 轻拿轻放

如何避免静电破坏Mask ?答:光罩夹子上连一导线到金属桌面,可以将产生的静电导出。

和Develop( 显影) 等过程。

In-line Track 机台有几个Coater 槽,几个Developer 槽?答:均为 4 个机台上亮红灯的处理流程?答:机台上红灯亮起的时候表明机台处于异常状态,此时已经不能RUN 货,因此应该及时Call E.E 进行处理。若EE现在无法立即解决,则将机台挂DOWN。

何谓WEE? 其功能为何?

答:Wafer Edge Exposure 。由于Wafer 边缘的光阻通常会涂布的不均匀,因此一般不能得到较好的图形,而且有时还会因此造成光阻peeling 而影响其它部分的图形,因此将Wafer Edge 的光阻曝光,进而在显影的时候将其去除,这样便可以消除影响。

何为PEB?其功能为何?

答:Post Exposure Bake ,其功能在于可以得到质量较好的图形。 (消除standing waves )

PHOTO POLYIMIDE 所用的光阻是正光阻还是负光阻

答:目前正负光阻都有,SMIC FAB 内用的为负光阻。

RUN 货结束后如何判断是否有wafer 被reject ?

答:查看RUN 之前lot 里有多少Wafer,再看Run 之后lot 里的WAFER 是否有少掉,如果有少,则进一步查看机台是否有Reject 记录。

何谓Overlay? 其功能为何?

答:迭对测量仪。由于集成电路是由很多层电路重迭组成的,因此必须保证每一层与前面或者后面的层的对准精度,如果对准精度超出要求范围内,则可能造成整个电路不能完成设计的工作。因此在每一层的制作的过程中,要对其与前层的对准精度进行测量,如果测量值超出要求,则必须采取相应措施调整process condition.

何谓ADI CD?

答:Critical Dimension ,光罩图案中最小的线宽。曝光过后,它的图形也被复制在Wafer 上,通常如果这些最小的线宽能够成功的成象,同时曝光的其它的图形也能够成功的成象。因此通常测量CD 的值来确定process 的条件是否合适。

何谓CD-SEM? 其功能为何? 答:扫描电子显微镜。是一种测量用的仪器,通常可以用于测量

CD 以及观察图案。

PRS的制程目的为何?

答:PRS (Process Release Standard) 通过选择不同的条件(能量和焦距)对Wafer 曝光,以选择最佳的process condition 。

何为ADI ?ADI 需检查的项目有哪些?

答:After Develop Inspection ,曝光和显影完成之后,通过ADI 机台对所产生的图形的定性检查,看其是否正常,其检查项目包括:Layer ID,Locking Corner ,Vernier ,Photo Macro

Defect

何为OOC, OOS,OCAP?

答:OOC=out of control,OOS=Out of Spec,OCAP=out of control action plan 当需要追货的时候,是否需要将ETCH 没有下机台的货追回来?答:需要。因为通常是process 出现了异常,而且影响到了一些货,因此为了减少损失,必须把还没有ETCH 的货追回来,否则ETCH 之后就无法挽回损失。

PHOTO ADI 检查的SITE 是每片几个点?

答: 5 点,Wafer 中间一点,周围四点。

PHOTO OVERLAY 检查的SITE 是每片几个点?答:20

PHOTO ADI 检查的片数一般是哪几片?

答:#1 ,#6 ,#15 ,#24; 统计随机的考量

何谓RTMS,其主要功能是什幺?

答:RTMS (Reticle Management System) 光罩管理系统用于trace 光罩的

History,Status,Location,and Information 以便于光罩管理

PHOTO 区的主机台进行PM 的周期?

答:一周一次

PHOTO 区的控片主要有几种类型

答:(1) Particle :作为Particle monitor 用的芯片,使用前测前需小於10 颗(2) Chuck Particle : 作为Scanner 测试Chuck 平坦度的专用芯片,其平坦度要求非常高(3) Focus :作为Scanner Daily monitor best 的wafer(4) CD : 做为photo 区daily monitor CD 稳定度的wafer(5) PR thickness : 做为光

阻厚度测量的wafer(6) PDM : 做为photo defect monitor 的wafer

当TRACK 刚显示光阻用完时,其实机台中还有光阻吗?

答:有少量光阻

当TRACK 刚显示光阻用完时,其实机台中还有光阻吗?答:有少量光阻

WAFER SORTER有读WAFER 刻号的功能吗?

答:有

光刻部的主要机台是什幺? 它们的作用是什幺?

答:光刻部的主要机台是: TRACK(涂胶显影机), Sanner( 扫描曝光机) 为什幺说光刻技术最象日常生活中的照相技术

答:Track 把光刻胶涂附到芯片上就等同于底片,而曝光机就是一台最高级的照相机. 光罩上的电路图形就是"人物". 通过对准,对焦,打开快门, 让一定量的光照过光罩, 其图像呈现在芯片的光刻胶上, 曝光后的芯片被送回Track 的显影槽, 被显影液浸泡, 曝光的光刻胶被洗掉, 图形就显现出来了.

光刻技术的英文是什幺

答:Photo Lithography

常听说的.18 或点13 技术是指什幺?

答:NA 是曝光机的透镜的数值孔径;是光罩对透镜张开的角度的正玹值. 最大是1; 先进的曝光机的NA 在0.5 ---0.85 之间.

曝光机分辨率是由哪些参数决定的?

答:分辨率=k1*Lamda/NA. Lamda 是用于曝光的光波长;NA 是曝光机的透镜的数值孔径; k1 是标志工艺水准的参数, 通常在0.4--0.7 之间.

如何提高曝光机的分辨率呢? 答:减短曝光的光波长, 选择新的光源; 把透镜做大,提高NA. 现在的生产线上, 曝光机的光源有几种, 波长多少?

答:有三种: 高压汞灯光谱中的365nm 谱线, 我们也称其为I-line; KrF 激光器, 产生248 nm 的光; ArF 激光器, 产生193 nm 的光;

下一代曝光机光源是什幺? 答:F2 激光器. 波长157nm 我们可否一直把波长缩短,以提高分辨率? 困难在哪里?

答:不可以. 困难在透镜材料. 能透过157nm 的材料是CaF2, 其晶体很难生长. 还未发现能透过更短波长的材料.

为什幺光刻区采用黄光照明?答:因为白光中包含365nm 成份会使光阻曝光,所以采用黄光;

就象洗像的暗房采用暗

红光照明

什幺是SEM

答:扫描电子显微镜(Scan Electronic Microscope) 光刻部常用的也称道CD SEM. 用它来测量CD

如何做Overlay 测量呢?

答:芯片(Wafer) 被送进Overlay 机台中. 先确定Wafer 的位置从而找到Overlay MARK. 这个MARK 是一个方块IN 方块的结构.大方块是前层, 小方块是当层;通过小方块是否在大方块中心来确定Overlay 的好坏.

生产线上最贵的机器是什幺

答:曝光机;5-15 百万美金/ 台曝光机贵在哪里?

答:曝光机贵在它的光学成像系统(它的成像系统由15 到20 个直径在200 300MM 的透镜组成.波面相位差只有最好象机的5%. 它有精密的定位系统( 使用激光工作台) 激光工作台的定位精度有多高?

答:现用的曝光机的激光工作台定位的重复精度小于10nm 曝光机是如何保证Overlay<50nm 答:曝光机要保证每层的图形之间对准精度<50nm. 它首先要有一个精准的激光工作台它把wafer 移动到准确的位置. 再就是成像系统,它带来的图像变形<35nm.

在WAFER 上, 什幺叫一个Field?

答:光罩上图形成象在WAFER 上, 最大只有26X33mm 一块(这一块就叫一个Field), 激光工作台把WAFER 移动一个Field 的位置,再曝一次光,再移动再曝光。直到覆盖整片WAFER。所以,一片WAFER 上有约100 左右Field.

什幺叫一个Die?

答:一个Die 也叫一个Chip; 它是一个功能完整的芯片。一个Field 可包含多个Die;

为什幺曝光机的绰号是“印钞机”

答:曝光机很贵;一天的折旧有 3 万-9 万人民币之多;所以必须充份利用它的产能,它一天可产出1600 片WAFER。

Track 和Scanner 内主要使用什幺手段传递Wafer :

答:机器人手臂(robot), Scanner 的ROBOT 有真空(VACCUM) 来吸住WAFER. TRACK 的ROBOT 设计独特, 用边缘HOLD WAFER.

可否用肉眼直接观察测量Scanner 曝光光源输出的光答:绝对禁止;强光对眼睛会有伤害

为什幺黄光区内只有Scanner 应用Foundation( 底座) 答:Scanner 曝光对稳定性有极高要求( 减震) 近代光刻技术分哪几个阶段?

答:从80'S至今可分 4 阶段:它是由曝光光源波长划分的;高压水银灯的G-line(438nm), I-

line(365nm); excimer laser KrF(248nm), ArF laser(193nm)

I-line scanner 的工作范围是多少? 答:CD >0.35um 以上的图层(LAYER) KrF scanner 的工作范围是多少?

答:CD >0.13um 以上的图层(LAYER)

ArF scanner 的工作范围是多少?

答:CD >0.08um 以上的图层(LAYER) 什幺是DUV SCANNER

答:DUV SCANNER 是指所用光源为Deep Ultra Voliet, 超紫外线.即现用的248nm,193nm Scanner

Scanner 在曝光中可以达到精确度宏观理解:

答:Scanner 是一个集机,光,电为一体的高精密机器;为控制iverlay<40nm, 在曝光过程中,光罩和Wafer 的运动要保持很高的同步性.在250nm/ 秒的扫描曝光时,两者同步位置<10nm .相当于两架时速1000 公里/小时的波音747 飞机前后飞行,相距小于10 微米

光罩的结构如何?

如何做CD 测量呢?

答:芯片(Wafer) 被送进CD SEM 中. 电子束扫过光阻图形(Pattern). 有光阻的地方和无光阻的地方产生的二次电子数量不同;处理此信号可的图像.对图像进行测量得C D.

什幺是DOF

答:DOF 也叫Depth Of Focus, 与照相中所说的景深相似. 光罩上图形会在透镜的另一侧的某个平面成像, 我们称之为像平面(Image Plan), 只有将像平面与光阻平面重合(In Focus) 才能印出清晰图形. 当离开一段距离后, 图像模糊. 这一可清晰成像的距离叫DOF 曝光显影后产生的光阻图形

( Pattern )的作用是什幺?答:曝光显影后产生的光阻图形有两个作用:一是作刻蚀的模板,未盖有光阻的地方与刻蚀气体反应,被吃掉.去除光阻后,就会有电路图形留在芯片上.另一作用是充当例子注入的模板.

光阻种类有多少?

答:光阻种类有很多.可根据它所适用的曝光波长分为I-line 光阻,KrF 光阻和ArF 光阻光阻层的厚度大约为多少?

答:光阻层的厚度与光阻种类有关.I-line 光阻最厚,0.7um to 3um. KrF 光阻0.4-0.9um.

ArF 光阻0.2-0.5um. 哪些因素影响光

阻厚度?

答:光阻厚度与芯片(WAFER)的旋转速度有关,越快越薄,与光阻粘稠度有关.哪些因素影响光阻厚度的均匀度?答:光阻厚度均匀度与芯片(WAFER)的旋转加速度有关,越快越均匀,与旋转加减速的时间点有关.

当显影液或光阻不慎溅入眼睛中如何处理答:大量清水冲洗眼睛,并查阅显影液的CSDS

( Chemical Safety Data Sheet ), 把它提供给医生,以协助治疗

FAC

根据工艺需求排气分几个系统?

答:分为一般排气( General )、酸性排气( Scrubbers )、碱性排气( Ammonia )和有机排气

( Solvent )四个系统。

高架地板分有孔和无孔作用?

答:使循环空气能流通,不起尘,保证洁净房内的洁净度; 防静电;便于HOOK-UP。离子发射系统作用

答:离子发射系统,防止静电

SMIC 洁净等级区域划分答:Mask Shop class 1 & 100Fab1 & Fab2 Photo and process area: Class 100Cu-line

Al-Line OS1 L3 OS1 L4 testing Class 1000 什幺是制程工艺真空系统(PV) 答:是提供厂区无尘室生产及测试机台在制造过程中所需的工艺真空; 如真空吸笔、光阻液涂布、吸芯片用真空源等。该系统提供一定的真空压力(真空度大于80 kpa) 和流量,

每天24 小时运行

什幺是MAU(Make Up Air Unit), 新风空调机组作用答:提供洁净室所需之新风,对新风湿度,温度,及洁净度进行控制,维持洁净室正压和湿度要求。

House Vacuum System 作用答:HV(House Vacuum) 系统提供洁净室制程区及回风区清洁吸取微尘粒子之真空源,其真空度较低。使用方法为利用软管连接事先已安装在高架地板下或柱子内的真

空吸孔,打开运转电源。此系统之运用可减低清洁时的污染。

Filter Fan Unit System(FFU) 作用

答:FFU系统保证洁净室内一定的风速和洁净度,由Fan和Filter(ULPA) 组成。

什幺是Clean Room 洁净室系统答:洁净室系统供应给制程及机台设备所需之洁净度、温度、湿度、正压、气流条件等

环境要求。

Clean room spec: 标准答:Temperature 23 °C ± 1 °C(Photo:23 °C 0±.5 °C)Humidity 45%±

5%(Photo:45%± 3% )Class 100Overpressure +15paAir velocity 0.4m/s 0.08m/s ±

Fab 内的safety shower 的日常维护及使用监督由谁来负责

答:Fab 内的Area Owner (若出现无水或大量漏水等可请厂务水课( 19105 )协助) 工程师在正常跑货用纯水做rinse 或做机台维护时,要注意不能有酸或有机溶剂 (如IPA 等)进入纯水回收系统中,这是因为:

答:酸会导致conductivity( 导电率)升高,有机溶剂会导致TOC 升高。两者均会影响并降低纯水回收率。

若在Fab 内发现地面有水滴或残留水等,应如何处理或通报答:先检查是否为机台漏水或做PM所致,若为厂务系统则通知厂务中控室( 12222 ) 机台若因做PM 或其它异常,而要大量排放

废溶剂或废酸等应首先如何通报答:通知厂务主系统水课的值班( 19105 )

废水排放管路中酸碱废水/ 浓硫酸/ 废溶剂等使用何种材质的管路? 答:酸碱废水/高密度聚乙烯(HDPE)浓硫酸/钢管内衬铁福龙(CS-PTFE)废溶剂/不琇钢管

(SUS)

若机台内的drain 管有接错或排放成分分类有误,将会导致后端的主系统出现什幺问题答:将会导致后端处理的主系统相关指标处理不合格,从而可能导致公司排放口超标排放的事故。

公司做水回收的意义如何?

答:(1) 节约用水,降低成本。重在环保。(2) 符合ISO 可持续发展的精神和公司环境保护暨安

全卫生政策。

何种气体归类为特气(Specialty Gas)?

答:SiH2Cl2 何种气体由VMB Stick 点供到机台?

答:H2 何种气体有自燃性?

答:SiH4 何种气体具有腐蚀性?

答:ClF3 当机台用到何种气体时,须安装气体侦测器?

答:PH3

名词解释GC, VMB, VMP

答:GC- Gas Cabinet 气瓶柜VMB- Valve Manifold Box 阀箱,适用于危险性气体。VMP- Valve Manifold Panel 阀件盘面,适用于惰性气体。

标准大气环境中氧气浓度为多少?工作环静氧气浓度低于多少时人体会感觉不适?答:21%什幺是气体的LEL? H2 的LEL 为多少?

答:LEL- Low Explosive Level 气体爆炸下限H2 LEL- 4%.

当FAB 内气体发生泄漏二级警报 (既Leak HiHi ),气体警报灯 ( LAU)会如何动作?FAB 内工作人员应如何应变?

答:LAU 红、黄灯闪烁、蜂鸣器叫听从ERC广播命令,立刻疏散。

?

答:(1) Oxide (SiO2) (2) Tungsten (W) 鵭设备机台总电源是几伏特?

答:208V OR 380V

欲从事生产/ 测试/维护时,如无法就近取得电源供给,可以无限制使用延长线吗? 答:不可以如何选用电器器材? 答:使用电器器材需采用通过认证之正规品牌机台开关可以任意分/合吗?

/ ,

当供电局供电中断时,人员仍可安心待在FAB 中吗?

答:当供电局供电中断时, 本厂因有紧急发电机设备,配合各相关监视系统, 仍然能保持

FAB 之Safety,所以人员仍可安心待在FAB 中.

WET

在半导体程制中,湿制程(wet processing) 分那二大頪?

答:(1) 晶圆洗净(wafer cleaning) (2) 湿蚀刻(wet etching).

wafer type(spray type) 晶圆洗净(wafer cleaning) 的目的为何? 答:去除金属杂质,有机物污染及微尘.

半导体制程有那些污染源?

答:(1) 微粒子(2) 金属(3) 有机物(4) 微粗糙(5) 天生的氧化物RCA 清洗制程目的为何?

答:于微影照像后,去除光阻,清洗晶圆,并做到酸碱中和,使晶圆可进行下一个制程洗净溶液APM(SC-1)--> NH4OH:H2O2:H2O 的目的为何? 答:去除微粒子及有机物洗净溶液SPM-->

H2SO4:H2O2:H2O 的目的为何?

答:去除有机物洗净溶液HPM(SC-2)--> HCL:H2O2:H2O 的目的为何?

答:去除金属

洗净溶液DHF--> HF:H2O(1:100~1:500) 的目的为何

答:氧化膜湿式蚀刻洗净溶液热磷酸--> H3PO4 的目的为何? 答:氮化膜湿式蚀刻

0.25 微米逻辑组件有那五种标准清洗方法?

答:(1) 扩散前清洗(2) 蚀刻后清洗(3) 植入后清洗(4) 沉积前洗清(5) CMP 后清洗超音波刷洗(ultrasonic scrubbing) 目的为何?

答:去除不溶性的微粒子污染何谓晶圆盒(POD) 清洗? 答:利用去离子水和界面活性剂(surfactant), 除去晶圆盒表面的污染.

高压喷洒(high pressure spray) 或刷洗去微粒子在那些制程之后? 答:(1) 锯晶圆(wafer saw) (2) 晶圆磨薄(wafer lapping) (3) 晶圆抛光(wafer polishing)

(4) 化学机械研磨晶圆湿洗净设备有那几种? 答:(1) 多槽全自动洗净设备(2) 单槽清洗设备(3) 单晶

圆清洗设备. 单槽清洗设备的优点?

答:(1) 较佳的环境制程与微粒控制能力. (2) 化学品与纯水用量少. (3) 设备调整弹性度高.

单槽清洗设备的缺点? 答:(1) 产能较低. (2) 晶圆间仍有互相污染单晶圆清洗设备未来有那些须要突破的地方?

答:产能低与设备成熟度

1 Active Area 主动区(工作区) 主动晶体管( ACTIVE TRANSISTOR )被制造的区域即所谓的主动区( ACTIVE AREA)。在标准之MOS 制造过程中ACTIVE AREA 是由一层氮化硅光罩即等接氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以ACTIVE AREA 会受到鸟嘴( BIRD'S BEAK)之影响而比原先之氮化硅光罩所定义的区域来的小,以长0.6UM 之场区氧化而言,大概会有0.5UM 之BIRD'S BEAK存在,也就是说ACTIVE AREA 比原在之氮化硅光罩所定义的区域小0.5UM 。

2 ACTONE 丙酮 1. 丙酮是有机溶剂的一种,分子式为CH3COCH3。 2. 性质为无色,具刺激性及薄荷臭味之液体。 3. 在FAB 内之用途,主要在于黄光室内正光阻之清洗、擦拭。 4. 对神经中枢具中度麻醉性,对皮肤黏膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸汽会刺激鼻、

眼结膜及咽喉黏膜,甚至引起头痛、恶心、呕吐、目眩、意识不明等。 5. 允许浓度1000PPM 。

3 ADI 显影后检查 1. 定义:After Developing Inspection 之缩写 2. 目的:检查黄光室制程;光阻覆盖→对准→曝光→显影。发现缺点后,如覆盖不良、显影不良?等即予修改,以维护产品良率、品质。 3. 方法:利用目检、显微镜为之。

4 AEI 蚀刻后检查 1. 定义:AEI 即After Etching Inspection ,在蚀刻制程光阻去除前及光阻去

除后,分别对产品实施全检或抽样检查。 2.目的:2-1 提高产品良率,避免不良品

外流。2-2 达到品质的一致性和制程之重复性。2-3 显示制程能力之指针2-4 阻止异常扩大,节省成本 3. 通常AEI 检查出来之不良品,非必要时很少作修改,因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生产成本增高,以及良率降低之缺点。

5 AIR SHOWER 空气洗尘室进入洁净室之前,需穿无尘衣,因在外面更衣室之故,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。

6 ALIGNMENT 对准 1. 定义:利用芯片上的对准键,一般用十字键和光罩上的对准键合对为之。 2. 目的:在IC 的制造过程中,必须经过6~10 次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。 3. 方法: A.人眼对准 B.用光、电组合代替人眼,即机械式对准。

7 ALLOY/SINTER 熔合Alloy 之目的在使铝与硅基(Silicon Substrate) 之接触有Ohmic 特性,即电压与电流成线性关系。Alloy 也可降低接触的阻值。

8 AL/SI 铝/ 硅靶此为金属溅镀时所使用的一种金属合金材料利用Ar 游离的离子,让其撞击此靶的表面,把Al/Si 的原子撞击出来,而镀在芯片表面上,一般使用之组成为Al/Si (1%) ,将此当作组

件与外界导线连接。

9 AL/SI/CU 铝/硅/ 铜金属溅镀时所使用的原料名称,通常是称为TARGET,其成分为

0.5 ﹪铜,1﹪硅及98.5 ﹪铝,一般制程通常是使用99﹪铝1﹪硅,后来为了金属电荷迁移现象( ELEC TROMIGRATION )故渗加0.5 ﹪铜,以降低金属电荷迁移。

10 ALUMINUN 铝此为金属溅镀时所使用的一种金属材料,利用Ar 游离的离子,让其撞击此种材料做成的靶表面,把Al 的原子撞击出来,而镀在芯片表面上,将此当作组件与外界导线之连接。

11 ANGLE LAPPING 角度研磨Angle Lapping 的目的是为了测量Junction 的深度,所作的芯片前处理,这种采用光线干涉测量的方法就称之Angle Lapping 。公式为Xj= λ/2 NF 即Junction 深度等于入射光波长的一半与干涉条纹数之乘积。但渐渐的随着VLSI 组件的缩小,准确度及精密度都无法因应。如SRP(Spreading Resistance Prqbing) 也是应用Angle Lapping 的方法作前处理,采用的方法是以表面植入浓度与阻值的对应关系求出Junction 的深度,精确度远超过入射光干涉法。

12 ANGSTRON 埃是一个长度单位,其大小为 1 公尺的百亿分之一,约为人的头发宽度之五十万分之一。此单位常用于IC 制程上,表示其层(如SiO2,Poly,SiN?.)厚度时用。

13 APCVD(ATMOSPRESSURE)常压化学气相沉积APCVD 为Atmosphere(大气),Pressure(压力),Chemical(化学),Vapor(气相)及Deposition(沉积)的缩写,也就是说,反应气体(如SiH4(g),B2H6(g),和O2(g))在常压下起化学反应而生成一层固态的生成物(如BPSG)于芯片上。

14 AS75 砷自然界元素之一;由33 个质子,42 个中子即75 个电子所组成。半导体工业用的砷离子(As+)可由AsH3 气体分解得到。砷是N-TYPE DOPANT 常用作N- 场区、空乏区及S/D 植入。

15 ASHING ,STRIPPING 电浆光阻去除 1. 电浆预处理,系利用电浆方式(Plasma ),将芯片表面之光阻加以去除。 2. 电浆光阻去除的原理,系利用氧气在电浆中所产生只自由基(Radical )与光阻(高分子的有机物)发生作用,产生挥发性的气体,再由帮浦抽走,达到光阻去除的目的。 3. 电浆光组的产生速率通常较酸液光阻去除为慢,但是若产品经过离子植入或电浆蚀刻后,表面之光阻或发生碳化或石墨化等化学作用,整个表面之光阻均已变质,若以硫酸吃光阻,无法将表面已变质之光阻加以去除,故均必须先以电浆光阻去除之方式来做。

16 ASSEMBLY 晶粒封装以树酯或陶瓷材料,将晶粒包在其中,以达到保护晶粒,隔绝环境污染的目的,而此一连串的加工过程,即称为晶粒封装(Assembly )。封装的材料不同,其封装的作法亦不同,本公司几乎都是以树酯材料作晶粒的封装,制程包括:芯片切割→晶粒目检→晶粒上「架」(导线架,即Lead frame )→焊线→模压封装→稳定烘烤(使树酯物性稳定)→切框、弯脚成型→脚沾锡→盖印→完成。以树酯为材料之IC ,通常用于消费性

产品,如计算机、计算器,而以陶瓷作封装材料之IC,属于高性赖度之组件,通常用于飞

弹、火箭等较精密的产品上。

17 BACK GRINDING 晶背研磨利用研磨机将芯片背面磨薄以便测试包装,着重的是厚度均匀度及背面之干净度。一般 6 寸芯片之厚度约20mil ~30 mil 左右,为了便于晶粒封装打线,故需将芯片厚度磨薄至10 mil ~15mil 左右。

18 BAKE, SOFT BAKE,HARD BAKE 烘烤,软烤,预烤烘烤(Bake ):在集成电路芯片上的制造过程中,将芯片至于稍高温(60℃~250 ℃)的烘箱内或热板上均可谓之烘烤,随其目的的不同,可区分微软烤(Soft bake )与预烤(Hard bake )。软烤(Soft bake ):其使用时机是在上完光阻后,主要目的是为了将光阻中的溶剂蒸发去除,并且可增加光阻与芯片之附着力。预烤(Hard bake ):又称为蚀刻前烘烤(pre-etch bake ),主要目的为去除水气,增加光阻附着性,尤其在湿蚀刻(wet etching )更为重要,预烤不全长会造成过蚀刻。

19 BF2 二氟化硼·一种供做离子植入用之离子。·BF2 +是由BF3 +气体晶灯丝加热分解成:B10、B11、F19、B10F2 、B11F2 。经Extract 拉出及质谱磁场分析后而得到。·是一种P-type 离子,通常用作VT 植入(闸层)及S/D 植入。

20 BOAT 晶舟Boat 原意是单木舟,在半导体IC 制造过程中,常需要用一种工具作芯片传送、清洗及加工,这种承载芯片的工具,我们称之为Boat 。一般Boat 有两种材质,一

是石英、另一是铁氟龙。石英Boat 用在温度较高(大于300 ℃)的场合。而铁氟龙Boat 则用在传送或酸处理的场合。

21 B.O.E 缓冲蚀刻液BOE是HF与NH4F 依不同比例混合而成。6:1 BOE 蚀刻即表示HF:NH4F=1 : 6 的成分混合而成。HF 为主要的蚀刻液,NH4F 则作为缓冲剂使用。利用NH4F 固定〔H+〕的浓度,使之保持一定的蚀刻率。HF 会浸蚀玻璃及任何含硅石的物质,

对皮肤有强烈的腐蚀性,不小心被溅到,应用大量水冲洗。

22 BONDING PAD 焊垫焊垫-晶利用以连接金线或铝线的金属层。在晶粒封装

(Assembly )的制程中,有一个步骤是作“焊线”,即是用金线(塑料包装体)或铝线(陶瓷包装体)将晶粒的线路与包装体之各个接脚依焊线图(Bonding Diagram )连接在一起,如此一来,晶粒的功能才能有效地应用。由于晶粒上的金属线路的宽度即间隙都非常窄小,(目前SIMC 所致的产品约是微米左右的线宽或间隙),而用来连接用的金线或铝线其线径目前由于受到材料的延展性即对金属接线强度要求的限制,只能做到 1.0 ~ 1.3mil (25.4 ~33j

微米)左右,在此情况下,要把二、三十微米的金属线直接连接到金属线路间距只有3微米的晶粒上,一定会造成多条铝线的接桥,故晶粒上的铝路,在其末端皆设计成一个约4mil 见方的金属层,

此即为焊垫,以作为接线使用。焊垫通常分布再晶粒之四个外围上(以粒封装时的焊线作业),其形状多为正方形,亦有人将第一焊线点作成圆形,以资辨识。焊垫因为要作接线,其上得护层必须蚀刻掉,故可在焊垫上清楚地看到“开窗线”。而晶粒上有时亦可看到大块的金属层,位于晶粒内部而非四周,其上也看不到开窗线,是为电容。

23 BORON 硼自然元素之一。由五个质子及六个中子所组成。所以原子量是11。另外

有同位素,是由五个质子及五个中子所组成原子量是10 (B10)。自然界中这两种同位素之

比例是 4 :1 ,可由磁场质谱分析中看出,是一种P-type 的离子( B 11+),用来作场区、

井区、VT 及S/D 植入。

24 BPSG 含硼及磷的硅化物BPSG乃介于Poly 之上、Metal 之下,可做为上下两层绝缘之用,加硼、磷主要目的在使回流后的Step 较平缓,以防止Metal line 溅镀上去后,造成断线。

25 BREAKDOWN VOLTAGE 崩溃电压反向P-N 接面组件所加之电压为P接负而N 接正,如为此种接法则当所加电压通在某个特定值以下时反向电流很小,而当所加电压值大于此特定值后,反向电流会急遽增加,此特定值也就是吾人所谓的崩溃电压(BREAKDOWN VOLTAGE)一般吾人所定义反向P+- N 接面之反向电流为1UA 时之电压为崩溃电压,在P +- N 或N+-P 之接回组件中崩溃电压,随着N (或者P)之浓度之增加而减小。

26 BURN IN 预烧试验「预烧」(Burn in )为可靠性测试的一种,旨在检验出哪些在使用初期即损坏的产品,而在出货前予以剔除。预烧试验的作法,乃是将组件(产品)至于高温的环境下,

加上指定的正向或反向的直流电压,如此残留在晶粒上氧化层与金属层之外来杂质离子或腐蚀性离子将容易游离而使故障模式(Failure Mode )提早显现出来,达到筛选、

剔除「早期夭折」产品之目的。预烧试验分为「静态预烧」(Static Burn in )与「动态预烧」(Dynamic Burn in )两种,前者在试验时,只在组件上加上额定的工作电压即消耗额定的功率,而后者除此外并有仿真实际工作情况的讯号输入,故较接近实际状况,也较严格。基

本上,每一批产品在出货前,皆须作百分之百的预烧试验,馾由于成本及交货其等因素,有些产品旧只作抽样(部分)的预烧试验,通过后才出货。另外对于一些我们认为它品质够稳定且够水准的产品,亦可以抽样的方式进行,当然,具有高信赖度的产品,皆须通过百分之百的预烧试验。

27 CAD 计算机辅助设计CAD :Computer Aided Design 计算机辅助设计,此名词所包含的范围很广,可泛称一切计算机为工具,所进行之设计;因此不仅在IC 设计上用得到,建筑上之设计,飞机、船体之设计,都可能用到。在以往计算机尚未广泛应用时,设计者必须以有限之记忆、经验来进行设计,可是有了所谓CAD 后,我们把一些常用之规则、经验

存入计算机后,后面的设计者,变可节省不少从头摸索的工作,如此不仅大幅地提高了设计的准确度,使设计的领域进入另一新天地。

28 CD MEASUREMENT 微距测试CD: Critical Dimension 之简称。通常于某一个层次中,为了控制其最小线距,我们会制作一些代表性之量测图形于晶方中,通常置于晶方之边缘。简言之,微距测量长当作一个重要之制程指针,可代表黄光制程之控制好坏。量测CD 之层次通常是对线距控制较重要之层次,如氮化硅、POLY、CONT、MET?等,而目前较常用于测量之图形有品字型,L-BAR 等。

29 CH3COOH 醋酸ACETIC ACID 醋酸澄清、无色液体、有刺激性气味、熔点16.63 ℃、沸点118 ℃。与水、酒精、乙醚互溶。可燃。冰醋酸是99.8 ﹪以上之纯化物,有别于水容

易的醋酸食入或吸入纯醋酸有中等的毒性,对皮肤及组织有刺激性,危害性不大,被溅到用水冲洗。

30 CHAMBER 真空室, 反应室专指一密闭的空间,常有特殊的用途:诸如抽真空、气体反应或金属溅度等。针对此特殊空间之种种外在或内在环境:例如外在粒子数(particle )、湿度及内在温度、压力、气体流量、粒子数等加以控制。达到芯片最佳反应条件。

31 CHANNEL 信道当在MOS晶体管的闸极上加上电压(PMOS为负,NMOS 为正),则闸极下的电子或电洞会被其电场所吸引或排斥而使闸极下之区域形成一反转层(Inversion Layer ),也就是其下之半导体P-type 变成N-type Si ,N-type 变成P-type Si ,而与源极和汲极,我们旧称此反转层为“信道”。信道的长度“Channel Length ”对MOS组件的参数有着极重要的影响,故我们对POLY CD 的控制需要非常谨慎。

32 CHIP ,DIE 晶粒一片芯片(OR 晶圆,即Wafer )上有许多相同的方形小单位,这些小单位及称为晶粒。同一芯片上每个晶粒都是相同的构造,具有相同的功能,每个晶粒经包装后,可制成一颗颗我们日常生活中常见的IC,故每一芯片所能制造出的IC 数量是很可观

的,从几百个到几千个不等。同样地,如果因制造的疏忽而产生的缺点,往往就会波及成百成千个产品。

33 CLT(CARRIER LIFE TIME)截子生命周期一、定义少数戴子再温度平均时电子被束缚在原子格内,当外加能量时,电子获得能量,脱离原子格束缚,形成自由状态而参与电流岛通的的工作,但能量消失后,这些电子/ 电洞将因在结合因素回复至平衡状态,因子当这些载子由被激发后回复平衡期间,称之为少数载子“LIFE TIME“二、应用范围 1.评估卢管

和清洗槽的干净度 2.针对芯片之清洁度及损伤程度对CLT 值有影响为 A.芯片中离子污染浓度及污染之金属种类 B.芯片中结晶缺陷浓度

34 CMOS 互补式金氧半导体金属氧化膜半导体(MOS ,METAL-OXIDE

SEMICONDUCTOR )其制程程序及先在单晶硅上形成绝缘氧化膜,再沉积一层复晶硅(或金

属)作为闸极,利用家到闸极的电场来控制MOS 组件的开关(导电或不导电)。按照导电载子的种类,MOS,又可分成两种类型:NMOS(由电子导电)和PMOS(由电洞导电)。而互补式金氧半导体(CMOSCOMPLEMENTARY MOS)则是由NMOS 及PMOS 组合而成,具有省电、抗噪声能力强、α-PARTICLE 免疫力好等许多优点,是超大规模集成电路(VLSI )

的主流。

35 COATING 光阻覆盖将光阻剂以浸泡、喷雾、刷怖、或滚压等方法加于芯片上,称为光阻覆盖。目前效果最佳的方法为旋转法;旋转法乃是将芯片以真空吸附于一个可旋转的芯片支持器上,适量的光阻剂加在芯片中央,然后芯片开始转动,芯片上的光阻剂向外流开,很均匀的散在芯片上。要得到均匀的光阻膜,旋转速度必须适中稳定。而旋转速度和光阻剂黏滞性绝应所镀光阻剂的厚度。光阻剂加上后,必须经过软烤的步骤,以除去光阻剂中过多的溶剂,进而使光阻膜较为坚硬,同时增加光阻膜与芯片的接合能力的主要方法就是在于适当调整软烤温度与时间。经过了以上的镀光阻膜即软烤过程,也就是完成了整个光阻覆盖的步骤。

36 CROSS SECTION 横截面IC 的制造基本上是由一层一层的图案堆积上去,而为了了解堆积图案的构造,以改善制程或解决制程问题,经常会利用破坏性切割方式以电子显微镜(SEM)来观察,而切割横截面、观察横截面的方式是其中较为普遍之一种。

37 C-V PLOT 电容,电压圆译意为电容、电压图:也就是说当组件在不同状况下,在闸极上施以某一电压时,会产生不同之电容值(此电压可为正或负),如此组件为理想的组件;也就是闸极和汲极间几乎没有杂质在里面(COMTAMINATION )。当外界环境改变时(温度或压力),并不太会影响它的电容值,利用此可MONITOR MOS 组件之好坏,一般△ V<0.2 为正常。

38 CWQC 全公司品质管制以往有些经营者或老板,一直都认为品质管制是品管部门或品管主管的责任,遇到品质管制做不好时,即立即指责品质主管,这是不对的。品质管制不是品质部门或某一单位就可以做好的,而是全公司每一部门全体人员都参与才能做好。固品质管制为达到经营的目的,必须结合公司内所有部门全体人员协力合作,构成一个能共同认识,亦于实施的体系,并使工作标准化,且使所定的各种事项确实实行,使自市场调查、研究、开发、设计、采购、制造、检查、试验、出货、销售、服务为止的每一阶段的品质都能有效的管理,这就是所谓的全公司品质管制(Company Wide Quality Control )。实施CWQC 的目的最主要的就是要改善企业体质;即发觉问题的体质、重视计划的体质、重点指向的体质、重视过程的体质,以及全员有体系导向的体质。

39 CYCLE TIME 生产周期时间指原料由投入生产线到产品于生产线产生所需之生产/ 制造时间。在TI-ACER,生产周期有两种解释:一为“芯片产出周期时间”(WAFER-OUT CYCLE TIME ),一为“制程周期时间”(PROCESS CYCLE TIME )“芯片产出周期时间”乃指单一批号之芯片由投入到产出所需之生产/ 制造时间。“制程周期时间”则指所有芯片于单一工站平均生产/制造时间,而各工站(从头至尾)平均生产/制造之加总极为该制程之制程周期时间。目前TI-ACER LINE REPORT 之生产周期时间乃采用“制程周期时间”。一般而言,生产周期时间可以下列公式概略推算之:生产周期时间= 在制品(WIP )/产能(THROUGHOUT )

40 CYCLE TIME 生产周期IC 制造流程复杂,且其程序很长,自芯片投入至晶圆测试完成,谓之Cycle Time 。由于IC生命周期很短,自开发、生产至销售,需要迅速且能掌握时效,故Cycle Time 越短,竞争能力就越高,能掌握产品上市契机,就能获取最大的利润。由于Cycle Time 长,不容许生产中的芯片因故报废或重做,故各项操作过程都要依照规范进行,且要做好故障排除让产品流程顺利,早日出FIB 上市销售。

41 DEFECT DENSITY 缺点密度〝缺点密度〞系指芯片单位面积上(如每平方公分、每平方英寸等)有多少〝缺点数〞之意,此缺点数一般可分为两大类: A. 可视性缺点 B.不可视性缺点。前者可藉由一般光学显微镜检查出来(如桥接、断线),由于芯片制造过程甚为复杂漫长,芯片上缺点数越少,产品量率品质必然越佳,故〝缺点密度〞常备用来当作一个工厂制造的产品品质好坏的指

针。

42 DEHYDRATION BAKE 去水烘烤目的:去除芯片表面水分,增加光阻附着力。以免芯片表面曝光显影后光阻掀起。方法:在光阻覆盖之前,利用高温(120 ℃或150 ℃)加热

方式为之。

43 DENSIFY 密化CVD 沉积后,由于所沈积之薄膜(THIN FILM 之密度很低),故以高温步骤使薄膜中之分子重新结合,以提高其密度,此种高温步骤即称为密化。密化通常以炉管在800 ℃以上的温度完成,但也可在快速升降温机台(RTP;RAPID THERMAL PROCESS)

完成。

44 DESCUM 电浆预处理 1.电浆预处理,系利用电浆方式(Plasma ),将芯片表面之光阻加以去除,但其去光阻的时间,较一般电浆光阻去除(Stripping )为短。其目的只是在于将芯片表面之光阻因显影预烤等制程所造成之光阻毛边或细屑(Scum )加以去除,以使图

形不失真,蚀刻出来之图案不会有残余。 2. 有关电浆去除光阻之原理,请参阅「电浆光阻去除」(Ashing )。 3. 通常作电浆预处理,均以较低之力,及小之功率为之,也就是使光阻之蚀刻率降低得很低,使得均匀度能提高,以保持完整的图形,达到电浆预处理的目的。

45 DESIGN RULE 设计规范由于半导体制程技术,系一们专业、精致又复杂的技术,容易受到不同制造设备制程方法(RECIPE)的影响,故在考虑各项产品如何从事制造技术

完善,成功地制造出来时,需有一套规范来做有关技术上之规定,此即“DESIGN RUL”E,其

系依照各种不同产品的需求、规格,制造设备及制程方法、制程能力、各项相关电性参数规格等之考虑,订正了如: 1. 各制程层次、线路之间距离、线宽等之规格。 2. 各制程层次厚度、深度等之规格。 3. 各项电性参数等之规格。以供产品设计者及制程技术工程师等人之遵循、参考。

46 EDSIGN RULE 设计准则设计准则EDSIGN RULE :反应制程能力及制程组件参数,以供

IC 设计者设计IC 时的参考准则。一份完整的Design Rule 包括有下列各部分: A.制程参数:如氧化层厚度、复晶、金属层厚度等,其它如流程、ADI 、AEI 参数。主要为扩散与

黄光两方面的参数。 B.电气参数:提供给设计者做仿真电路时之参考。 C.布局参数:及一般

所谓的3μm、2μm、1.5 μm?等等之Rules ,提供布局原布局之依据。 D.光罩制作资料:提供给光罩公司做光罩时之计算机资料,如CD BAR、测试键之摆放位置,各层次之相对位置

之摆放等。

47 DIE BY DIE ALIGNMENT 每FIELD 均对准每个Field 再曝光前均针对此单一Field 对准之方法称之;也就是说每个Field 均要对准。

48 DIFFUSION 扩散在一杯很纯的水上点一滴墨水,不久后可发现水表面颜色渐渐淡去,而水面下渐渐染红,但颜色是越来越淡,这即是扩散的一例。在半导体工业上常在很纯的硅芯片上以预置或离子布植的方式作扩散源(即红墨水)。因固态扩散比液体扩散慢很多(约数亿年),故以进炉管加高温的方式,使扩散在数小时内完成。

49 DI WATER 去离子水IC 制造过程中,常需要用盐酸容易来蚀刻、清洗芯片。这些步骤之后又需利用水把芯片表面残留的盐酸清除,故水的用量相当大。然而IC。工业用水,

并不是一般的自来水或地下水,而是自来水或地下水经过一系列的纯化而成。原来自来水或

地下水中含有大量的细菌、金属离子级PARTICLE,经厂务的设备将之杀菌、过滤和纯化后,

51 DRAM , SRAM 动态,静态随机存取内存随机存取记忆器可分动态及静态两种,主要之差异在于动态随机存取内存(DRAM),在一段时间(一般是0.5ms ~5ms )后,资料会消失,故必须在资料未消失前读取元资料再重写(refresh ),此为其最大缺点,此外速度较慢也是其缺点,而

半导体基础知识

半导体基础知识(详细篇) 2.1.1概念 根据物体导电能力(电阻率)的不同,来划分导体、绝缘体和半导体。 1. 导体:容易导电的物体。如:铁、铜等 2. 绝缘体:几乎不导电的物体。如:橡胶等 3. 半导体:半导体是导电性能介于导体和半导体之间的物体。在一定条件下可 导电。 半导体的电阻率为10-3?109 cm 典型的半导体有硅 Si 和锗Ge 以 及砷化傢GaAs 等。 半导体特点: 1) 在外界能源的作用下,导电性能显著变化。光敏元件、热敏元件属于此 类。 2) 在纯净半导体内掺入杂质,导电性能显著增加。二极管、三极管属于此 类。 2.1.2本征半导体 1. 本征半导体一一化学成分纯净的半导体。制造半导体器件的半导体材料的纯度 要达到99.9999999%常称为“九个9”。它在物理结构上呈单晶体形态。电子 技术中用的最多的是硅和锗。 硅和锗都是4价元素,它们的外层电子都是4个。其简化原子结构模型如下 图: 外层电子受原子核的束缚力最 小, 成为价电子。物质的性质是由价 电子决 定的。 2. 本征半导体的共价键结构 本征晶体中各原子之间靠得很近, 相邻原子的吸引,分别与周围的四个原子 的价电子形成共价键。 外层电子受原子核的束缚力最小, 的。 使原分属于各原子的四个价电子同时受到 共价键中的价电

3.共价键 共价键上的两个电子是由相邻原子各用 一个电子组成的,这两个电子被成为束缚电子。 束缚电子同时受两个原子的约束,如果没有足 够的能量,不易脱离轨道。因此,在绝对温度 T=0° K (-273° C )时,由于共价键中的电子 被束缚着,本征半导体中没有自由电子,不导 电。只有在激发下,本征半导体才能导电 4. 电子与空穴 当导体处于热力学温度0°K 时,导体中没有自由电子。当温度升高或受到 光的照射时,价电子能量增高,有的价电子可以挣脱原子核的束缚,而参与导电, 成为自由电子。这一现象称为本征激发,也称热激发。 自由电子产生的同时,在其原来的共价键中就出现了一个空位, 原子的电中 性被破坏,呈现出正电性,其正电量与电子的负电量相等,人们常称呈现正电性 的这个空位为空穴。 电子与空穴的复合 可见因热激发而出现的自由电子和空穴是同时成对出现的, 称为电子空穴对。 游离的部分自由电子也可能回到空穴中去, 称为复合,如图所示。本征激发和复 合在一定温并为它们所束缚,在空间形成排列有序的晶体。如下图所 硅晶体的空间排列与共价键结构平面示意图 空A * 电 子为这些原子所共有,

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

【半导体研磨 精】半导体晶圆的生产工艺流程介绍

?从大的方面来讲,晶圆生产包括晶棒制造和晶片制造两大步骤,它又可细分为以下几道主要工序(其中晶棒制造只包括下面的第一道工序,其余的全部属晶片制造,所以有时又统称它们为晶柱切片后处理工序): 晶棒成长--> 晶棒裁切与检测--> 外径研磨--> 切片--> 圆边--> 表层研磨--> 蚀刻--> 去疵--> 抛光--> 清洗--> 检验--> 包装 1 晶棒成长工序:它又可细分为: 1)融化(Melt Down) 将块状的高纯度复晶硅置于石英坩锅内,加热到其熔点1420°C以上,使其完全融化。 2)颈部成长(Neck Growth) 待硅融浆的温度稳定之后,将〈1.0.0〉方向的晶种慢慢插入其中,接着将晶种慢慢往上提升,使其直径缩小到一定尺寸(一般约6mm左右),维持此直径并拉长 100-200mm,以消除晶种内的晶粒排列取向差异。 3)晶冠成长(Crown Growth) 颈部成长完成后,慢慢降低提升速度和温度,使颈部直径逐渐加大到所需尺寸(如 5、6、8、12吋等)。 4)晶体成长(Body Growth) 不断调整提升速度和融炼温度,维持固定的晶棒直径,只到晶棒长度达到预定值。 5)尾部成长(Tail Growth) 1

当晶棒长度达到预定值后再逐渐加快提升速度并提高融炼温度,使晶棒直径逐渐变小,以避免因热应力造成排差和滑移等现象产生,最终使晶棒与液面完全分离。到此即得到一根完整的晶棒。 2 晶棒裁切与检测(Cutting & Inspection) 将长成的晶棒去掉直径偏小的头、尾部分,并对尺寸进行检测,以决定下步加工的工艺参数。 3 外径研磨(Su rf ace Grinding & Shaping) 由于在晶棒成长过程中,其外径尺寸和圆度均有一定偏差,其外园柱面也凹凸不平,所以必须对外径进行修整、研磨,使其尺寸、形状误差均小于允许偏差。 4 切片(Wire Saw Sl ic ing) 由于硅的硬度非常大,所以在本工序里,采用环状、其内径边缘镶嵌有钻石颗粒的薄片锯片将晶棒切割成一片片薄片。 5 圆边(Edge Profiling) 由于刚切下来的晶片外边缘很锋利,硅单晶又是脆性材料,为避免边角崩裂影响晶片强度、破坏晶片表面光洁和对后工序带来污染颗粒,必须用专用的电脑控制设备自动修整晶片边缘形状和外径尺寸。 ? 6 研磨(Lapping) 研磨的目的在于去掉切割时在晶片表面产生的锯痕和破损,使晶片表面达到所要求的光洁度。 7 蚀刻(Etching) 1

半导体基本知识

一、半导体基本知识 太阳电池是用半导体材料硅做成的。容易导电的是导体,不易导电的是绝缘体,即不像导体那样容易导电又不像绝缘体那样不容易导电的物体叫半导体,譬如:锗、硅、砷化缘等。 世界上的物体都是由原子构成的,从原子排列的形式来看,可以把物体分成2大类,晶体和非晶体。晶体通常都有特殊的外形,它内部的原子按照一定的规律整齐地排列着;非晶体内部原子排列乱七八糟,没有规则;大多数半导体都是晶体。半导体材料硅是原子共价晶体,在晶体中,相邻原子之间是以共用电子结合起来的。硅是第四族元素,硅原子的电子层结构为2、8、4,它的最外层的四个电子是价电子。因此每个硅原子又分别与相邻的四个原子形成四个共价键,每个共价键都是相邻的两个原子分别提供一个价电子所组成的。 如果硅晶体纯度很高,不含别的杂质元素,而且晶体结构很完美,没有缺陷,这种半导体叫本征半导体,而且是单晶体。而多晶体是由许多小晶粒聚合起来组成的,每一晶体又由许多原子构成。原子在每一晶粒中作有规则的整齐排列,各个晶粒中原子的排列方式都是相同的。但在一块晶体中,各个晶粒的取向(方向)彼此不同,晶粒与晶粒之间并没有按照一定的规则排列,所以总的来看,原子的排列是杂乱无章的,这样的晶体,我们叫它多晶体。 半导体有很特别的性质:导电能力在不同的情况下会有非常大的差别。光照、温度变化、适当掺杂都会使半导体的导电能力显著增强,尤其利用掺杂的方法可以制造出五花八门的半导体器件。但掺杂是有选择的,只有加入一定种类和数量的杂质才能符合我们的要求。 我们重点看一下硼和磷这两种杂质元素。硼是第三族主族元素,硼原子的电子层结构为2、3,由于硼原子的最外电子层只有三个电子,比硅原子缺少一个最外层电子,因此当硼原子的三个最外层价电子与周围最邻近的三个硅原子的价电子结合成共价键时,在与第四个最邻近的硅原子方向留下一个空位。这个空位叫空穴,它可以接受从邻近硅原子上跳来的电子,形成电子的流动,参与导电。硼原子在硅晶体中起着接受电子的作用,所以叫硼原子为受主型杂质。掺有受主型杂质的半导体,其导电率主要是由空穴决定的,这种半导体又叫空穴型或P型半导体。 磷是周期表中第五族元素,磷原子的电子层结构为2、8、5,它的最外层的五个电子是价电子。由于磷原子比硅原子多一个最外层电子,因此当磷原子的四个价电子与周围最邻近的四个硅原子的价电子形成共价键后,还剩余一个价电子。这个价电子很容易成为晶体中的自由电子参与导电。磷原子在硅晶体中起施放电子的作用,所以叫磷原子为施主型杂质。掺有施主型杂质的半导体,其导电率主要是由电子决定的,这种半导体又叫电子型半导体或n型半导体。 二、扩散基本知识 我们知道,太阳能电池的心脏是一个PN结。我们需要强调指出,PN结是不能简单地用两

硬件类常用英语词汇

硬件类常用英语词汇 下面是小编整理的硬件类常用英语词汇,希望对大家有帮助。 计算机英语词汇大全 常见硬件篇 CPU:Central Processing Unit,中央处理单元,又叫中央处理器或微处理器,被喻为电脑的心脏。 LD:Laser Disk,镭射光盘,又称激光视盘。 CD:Compact Disc,压缩光盘,又称激光唱盘。 CD-ROM:Compact Disc-Read Only Memory,压缩光盘-只读记忆(存储),又叫“只读光盘”。 VCD:Video Compact Disc,视频压缩光盘,即人们通常所说的“小影碟”。 RAM:Random Access Memory,随机存储器,即人们常说的“内存”。 ROM:Read-Only Memory,只读存储器。 Seagate:美国希捷硬盘生产商。Seagate英文意思为“通往海洋的门户”,常指通海的运河等。 Quantum:英文含意为“定量,总量”。著名硬盘商标,美国昆腾硬盘生产商(Quantum Corporation)。

Maxtor:“水晶”,美国Maxtor硬盘公司。 PCI:Peripheral Component Interconnection,局部总线(总线是计算机用于把信息从一个设备传送到另一个设备的高速通道)。PCI总线是目前较为先进的一种总线结构,其功能比其他总线有很大的提高,可支持突发读写操作,最高传输率可达132Mbps,是数据传输最快的总线之一,可同时支持多组外围设备。PCI不受制于 CPU处理器,并能兼容现有的各种总线,其主板插槽体积小,因此成本低,利于推广。 EDO:Extended Data Output,扩充数据输出。当CPU的处 理速度不断提高时,也相应地要求不断提高DRAM传送数据速度, 一般来说,FPM(Fast Page Model)DRAM传送数据速度在60-70ns,而EDO DRAM比FPM快3倍,达20ns。目前最快的是SDRAM(Synchronous DRAM,同步动态存储器),其存取速度高 达10ns。 SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储器,又称同步DRAM,为新一代动态 存储器。它可以与CPU总线使用同一个时钟,因此,SDRAM存储 器较EDO存储器能使计算机的性能大大提高。 Cache:英文含义为“(勘探人员等贮藏粮食、器材等的)地窖; 藏物处”。电脑中为高速缓冲存储器,是位于CPU和主存储器 DRAM(Dynamic Randon Access Memory)之间,规模较小,但 速度很高的存储器,通常由SRAM(Static Random Access

半导体物理知识点总结

半导体物理知识点总结 本章主要讨论半导体中电子的运动状态。主要介绍了半导体的几种常见晶体结构,半导体中能带的形成,半导体中电子的状态和能带特点,在讲解半导体中电子的运动时,引入了有效质量的概念。阐述本征半导体的导电机构,引入了空穴散射的概念。最后,介绍了Si、Ge和GaAs的能带结构。 在1.1节,半导体的几种常见晶体结构及结合性质。(重点掌握)在1.2节,为了深入理解能带的形成,介绍了电子的共有化运动。介绍半导体中电子的状态和能带特点,并对导体、半导体和绝缘体的能带进行比较,在此基础上引入本征激发的概念。(重点掌握)在1.3节,引入有效质量的概念。讨论半导体中电子的平均速度和加速度。(重点掌握)在1.4节,阐述本征半导体的导电机构,由此引入了空穴散射的概念,得到空穴的特点。(重点掌握)在1.5节,介绍回旋共振测试有效质量的原理和方法。(理解即可)在1.6节,介绍Si、Ge的能带结构。(掌握能带结构特征)在1.7节,介绍Ⅲ-Ⅴ族化合物的能带结构,主要了解GaAs的能带结构。(掌握能带结构特征)本章重难点: 重点: 1、半导体硅、锗的晶体结构(金刚石型结构)及其特点; 三五族化合物半导体的闪锌矿型结构及其特点。 2、熟悉晶体中电子、孤立原子的电子、自由电子的运动有何不同:孤立原子中的电子是在该原子的核和其它电子的势场中运动,自由电子是在恒定为零的势场中运动,而晶体中的电子是在严格周期性重复排列的原子间运动(共有化运动),单电子近似认为,晶体中的某一个电子是在周期性排列且固定不动的原子核的势场以及其它大量电子的平均势场中运动,这个势场也是周期性变化的,而且它的周期与晶格周期相同。 3、晶体中电子的共有化运动导致分立的能级发生劈裂,是形成半导体能带的原因,半导体能带的特点: ①存在轨道杂化,失去能级与能带的对应关系。杂化后能带重新分开为上能带和下能带,上能带称为导带,下能带称为价带②低温下,价带填满电子,导带全空,高温下价带中的一部分电子跃迁到导带,使晶体呈现弱导电性。

半导体制造工艺流程

半导体制造工艺流程 N型硅:掺入V族元素--磷P、砷As、锑Sb P型硅:掺入III族元素—镓Ga、硼B PN结: 半导体元件制造过程可分为 前段(FrontEnd)制程 晶圆处理制程(WaferFabrication;简称WaferFab)、 晶圆针测制程(WaferProbe); 後段(BackEnd) 构装(Packaging)、 测试制程(InitialTestandFinalTest) 一、晶圆处理制程 晶圆处理制程之主要工作为在矽晶圆上制作电路与电子元件(如电晶体、电容体、逻辑闸等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室(Clean-Room),虽然详细的处理程序是随著产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接著进行氧化(Oxidation)及沈积,最後进行微影、蚀刻及离子植入等反覆步骤,以完成晶圆上电路的加工与制作。 二、晶圆针测制程 经过WaferFab之制程後,晶圆上即形成一格格的小格,我们称之为晶方或是晶粒(Die),在一般情形下,同一片晶圆上皆制作相同的晶片,但是也有可能在同一片晶圆上制作不同规格的产品;这些晶圆必须通过晶片允收测试,晶粒将会一一经过针测(Probe)仪器以测试其电气特性,而不合格的的晶粒将会被标上记号(InkDot),此程序即称之为晶圆针测制程(WaferProbe)。然後晶圆将依晶粒为单位分割成一粒粒独立的晶粒 三、IC构装制程 IC構裝製程(Packaging):利用塑膠或陶瓷包裝晶粒與配線以成積體電路目的:是為了製造出所生產的電路的保護層,避免電路受到機械性刮傷或是高溫破壞。 半导体制造工艺分类 半导体制造工艺分类 一双极型IC的基本制造工艺: A在元器件间要做电隔离区(PN结隔离、全介质隔离及PN结介质混合隔离)ECL(不掺金)(非饱和型)、TTL/DTL(饱和型)、STTL(饱和型)B在元器件间自然隔离 I2L(饱和型) 半导体制造工艺分类 二MOSIC的基本制造工艺: 根据栅工艺分类 A铝栅工艺 B硅栅工艺

(整理)半导体基础知识.

1.1 半导体基础知识概念归纳 本征半导体定义:纯净的具有晶体结构的半导体称为本征半导体。 电流形成过程:自由电子在外电场的作用下产生定向移动形成电流。 绝缘体原子结构:最外层电子受原子核束缚力很强,很难成为自由电子。 绝缘体导电性:极差。如惰性气体和橡胶。 半导体原子结构:半导体材料为四价元素,它们的最外层电子既不像导体那么容易挣脱原子核的束缚,也不像绝缘体那样被原子核束缚得那么紧。 半导体导电性能:介于半导体与绝缘体之间。 半导体的特点: ★在形成晶体结构的半导体中,人为地掺入特定的杂质元素,导电性能具有可控性。 ★在光照和热辐射条件下,其导电性有明显的变化。 晶格:晶体中的原子在空间形成排列整齐的点阵,称为晶格。 共价键结构:相邻的两个原子的一对最外层电子(即价电子)不但各自围绕自身所属的原子核运动,而且出现在相邻原子所属的轨道上,成为共用电子,构成共价键。 自由电子的形成:在常温下,少数的价电子由于热运动获得足够的能量,挣脱共价键的束缚变成为自由电子。 空穴:价电子挣脱共价键的束缚变成为自由电子而留下一个空位置称空穴。 电子电流:在外加电场的作用下,自由电子产生定向移动,形成电子电流。 空穴电流:价电子按一定的方向依次填补空穴(即空穴也产生定向移动),形成空穴电流。 本征半导体的电流:电子电流+空穴电流。自由电子和空穴所带电荷极性不同,它们运动方向相反。 载流子:运载电荷的粒子称为载流子。 导体电的特点:导体导电只有一种载流子,即自由电子导电。 本征半导体电的特点:本征半导体有两种载流子,即自由电子和空穴均参与导电。 本征激发:半导体在热激发下产生自由电子和空穴的现象称为本征激发。 复合:自由电子在运动的过程中如果与空穴相遇就会填补空穴,

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体工艺流程

1、清洗 集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水;且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即采用无机酸将其氧化去除,最后用超纯水进行清洗,如图1 —6所示。 图1—6硅片清洗工艺示意图 工具的清洗基本米用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250C高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作 为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为:

Si + O2f SiO2 3、扩散 扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B2H6)作为N —源和磷烷(PH3)作为P+源。工艺生产过程中通常 分为沉积源和驱赶两步,典型的化学反应为: 2PH3 f 2P + 3H2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上 形成了沟槽。 光刻胶 基片------------ ?涂胶后基片 1 1 1 1 ~ 显影后基片V------------- 曝光后基片 6、湿法腐蚀和等离子刻蚀

第一章半导体基础知识(精)

第一章半导体基础知识 〖本章主要内容〗 本章重点讲述半导体器件的结构原理、外特性、主要参数及其物理意义,工作状态或工作区的分析。 首先介绍构成PN结的半导体材料、PN结的形成及其特点。其后介绍二极管、稳压管的伏安特性、电路模型和主要参数以及应用举例。然后介绍两种三极管(BJT和FET)的结构原理、伏安特性、主要参数以及工作区的判断分析方法。〖本章学时分配〗 本章分为4讲,每讲2学时。 第一讲常用半导体器件 一、主要内容 1、半导体及其导电性能 根据物体的导电能力的不同,电工材料可分为三类:导体、半导体和绝缘体。半导体可以定义为导电性能介于导体和绝缘体之间的电工材料,半导体的电阻率为10-3~10-9 cm。典型的半导体有硅Si和锗Ge以及砷化镓GaAs等。半导体的导电能力在不同的条件下有很大的差别:当受外界热和光的作用时,它的导电能力明显变化;往纯净的半导体中掺入某些特定的杂质元素时,会使它的导电能力具有可控性;这些特殊的性质决定了半导体可以制成各种器件。 2、本征半导体的结构及其导电性能 本征半导体是纯净的、没有结构缺陷的半导体单晶。制造半导体器件的半导体材料的纯度要达到99.9999999%,常称为“九个9”,它在物理结构上为共价键、呈单晶体形态。在热力学温度零度和没有外界激发时,本征半导体不导电。 3、半导体的本征激发与复合现象 当导体处于热力学温度0 K时,导体中没有自由电子。当温度升高或受到光的照射时,价电子能量增高,有的价电子可以挣脱原子核的束缚而参与导电,成为自由电子。这一现象称为本征激发(也称热激发)。因热激发而出现的自由电子和空穴是同时成对出现的,称为电子空穴对。 游离的部分自由电子也可能回到空穴中去,称为复合。 在一定温度下本征激发和复合会达到动态平衡,此时,载流子浓度一定,且自由电子数和空穴数相等。 4、半导体的导电机理 自由电子的定向运动形成了电子电流,空穴的定向运动也可形成空穴电流,因此,在半导体中有自由电子和空穴两种承载电流的粒子(即载流子),这是半导体的特殊性质。空穴导电的实质是:相邻原子中的价电子(共价键中的束缚电子)依次填补空穴而形成电流。由于电子带负电,而电子的运动与空穴的运动方向相反,因此认为空穴带正电。

计算机常用英语词汇大全

、 计算机常用英语词汇大全 CPU(Center Processor Unit)中央处理单元 mainboard主板 RAM(random access memory)随机存储器(内存) ROM(Read Only Memory)只读存储器 Floppy Disk软盘 Hard Disk硬盘 CD-ROM光盘驱动器(光驱) , monitor监视器 keyboard键盘 mouse鼠标 chip芯片 CD-R光盘刻录机 HUB集线器 Modem= MOdulator-DEModulator,调制解调器 P-P(Plug and Play)即插即用 , UPS(Uninterruptable Power Supply)不间断电源 BIOS(Basic-input-Output System)基本输入输出系统 CMOS(Complementary Metal-Oxide-Semiconductor)互补金属氧化物半导体

setup安装 uninstall卸载 wizzard向导 OS(Operation Systrem)操作系统OA(Office AutoMation)办公自动化、 exit退出 edit编辑 copy复制 cut剪切 paste粘贴 delete删除 select选择 find查找 · select all全选 replace替换 undo撤消 redo重做 program程序 license许可(证) back前一步 next下一步

] finish结束 folder文件夹 Destination Folder目的文件夹 user用户 click点击 double click双击 right click右击 settings设置 … update更新 release发布 data数据 data base数据库 DBMS(Data Base Manege System)数据库管理系统view视图 insert插入 object对象 ; configuration配置 command命令 document文档 POST(power-on-self-test)电源自检程序 cursor光标

半导体知识点

1.施主杂质:能够释放电子而产生导电电子并形成正电中心的杂质。 2. 受主杂质:能够接受电子而产生导电空穴,并形成负电中心的杂质。 3.受主能级:通过受主掺杂在半导体禁带中形成缺陷能级。正常情况下,此能 级被空穴占据,这个被受主杂质束缚的空穴的能量状态称为受主能级。 4.施主能级:通过施主掺杂在半导体禁带中形成缺陷能级,被施主杂质束缚 电子能量状态称为施主能级。 5.空穴:在电子挣脱价键的束缚成为自由电子,其价键中所留下来的空位。 6.间接复合:导带中的电子通过禁带的复合中心能级与价带中的空穴复合,这样的复合过程称为间接复合。 7.直接复合:导带中的电子越过禁带直接跃迁到价带,与价带中的空穴复合, 这样的复合过程称为直接复合。 8.非平衡载流子:处于非平衡状态的半导体,其载流子浓度也不再是平衡载流 子浓度,比它们多出一部分。比平衡状态多出来的这部分载流子称为非平衡载 流子。 9.直接带隙半导体:导带边和价带边处于 k 空间相同点的半导体通常被称为 直接带隙半导体。电子要跃迁的导带上产生导电的电子和空穴(形成半满能带)只需要吸收能量。例子有 GaAs,InP,InSb。 10.间接带隙半导体:导带边和价带边处于 k 空间不同点的半导体通常被称为间接带隙半导体。形成半满能带不只需要吸收能量,还要该变动量。例子有Ge,Si。 11.本征半导体:没有杂质和缺陷的半导体叫做本征半导体。 12.杂质半导体:在本征半导体中掺入某些微量元素作为杂质,可使半导体的导电性发生显著变化。掺入的杂质主要是三价或五价元素。掺入杂质的本征半导 体称为杂质半导体。 13. 迁移率:单位场强下电子的平均漂移速度。 14.扩散长度:非平衡载流子深入样品的平均距离。由扩散系数和材料寿命决定。 15.复合中心:促进复合过程的杂质和缺陷称为复合中心。 16.状态密度:单位能量间隔内的量子态数目称为状态密度。 17.小注入:过剩载流子的浓度远小于热平衡多子浓度的情况 18.过剩空穴:价带中超出热平衡状态浓度的空穴浓度△p=p-p。 简答题 1.实际半导体与理想半导体间的主要区别是什么?

最完整的计算机中的常用英语单词大全

A Active-matrix主动距陈 Adapter cards适配卡 Advanced application高级应用Analytical graph分析图表 Analyze分析 Animations动画 Application software 应用软件Arithmetic operations算术运算 Audio-output device音频输出设备Access time存取时间 access存取 accuracy准确性 ad network cookies广告网络信息记录软件 Add-ons附软件 Address地址 Agents代理 Analog signals模拟信号 Applets程序 Asynchronous communications port异步通信端口 Attachment附件 B Bar code条形码 Bar code reader条形码读卡器 Basic application基础程序 Binary coding schemes二进制译码方案Binary system二进制系统 Bit比特 Browser浏览器 Bus line总线 Backup tape cartridge units备份磁带盒单元 Bandwidth带宽 Bluetooth蓝牙 Broadband宽带 Browser浏览器 Business-to-business企业对企业电子商务 Business-to-consumer企业对消费者Bus总线C Cables连线 Cell单元箱 Chain printer链式打印机 Character and recognition device字符标识识别设备 Chart图表 Chassis支架 Chip芯片 Clarity清晰度 Closed architecture封闭式体系结构Column列 Combination key结合键 computer competency计算机能力connectivity连接,结点 Continuous-speech recognition system 连续语言识别系统 Control unit操纵单元 Cordless or wireless mouse无线鼠标Cable modems有线调制解调器 carpal tunnel syndrome腕骨神经综合症CD-ROM可记录光盘 CD-RW可重写光盘 CD-R可记录压缩光盘 Channel信道 Chat group谈话群组chlorofluorocarbons(CFCs) ]氯氟甲烷Client客户端 Coaxial cable同轴电缆 cold site冷战 Commerce servers商业服务器Communication channel信道Communication systems信息系统Compact disc rewritable Compact disc光盘 computer abuse amendments act of 19941994计算机滥用法案 computer crime计算机犯罪 computer ethics计算机道德 computer fraud and abuse act of 1986计算机欺诈和滥用法案 computer matching and privacy protection act of 1988计算机查找和隐

半导体专业英语词汇

半导体专业词汇 1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷

21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技

光学专业常用英语词汇

光学专业常用英语词汇photoelectric liquid-level indicator 光电液位 指示器 photoelectric encoder 光电译码器photocathode 光电阴极 photoelectric cathode photoelectric cell 光电 阴极光电管 photoelectric fluorometer 光电荧光计 optical-electronic mail address recognizer 光 电邮件地址识别机 photoelectric threshold 光电阈 photoelectric cell 光电元件 photoelement 光电元件 photounit 光电元件 photoelectric reader 光电阅读器 photoreader 光电阅读器 photoelectric chopper 光电斩波器 photoelectric lighting control 光电照明控制electro-optical rectifier 光电整流器photoelectric direct reading spectrometer 光 电直读光谱计

photoelectric guidance 光电制导 photoelectric transit instrument 光电中星仪 photoelectric clock 光电钟 photoelectric translating system 光电转换系统photoelectric conversion efficiency 光电转换 效率 photoelectrical refrigeration 光-电转换制冷 photoelectric tachometer 光电转速计 photoelectronics 光电装置 photoelectric turbidimeter 光电浊度计 photonephelometer 光电浊度计 photoelectron 光电子 photoelectric yield 光电子产额 optical electronic reproducer 光电子唱头 optoelectronic memory 光电子存储 optoelectronic storage 光电子存储 optoelectronic storage 光电子存储器 photoelectronic 光电子的 photoelectric emission 光电子发射 photoelectron emission spectroscopy 光电子

半导体常用英语词汇

MFG 常用英文单字Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导绝缘体:塑料、木头、皮革、纸……导电系数小、传导不半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电 Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰 纹,与FAB内生产的芯片图形类 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯

片,称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lo上一站加工时,本站便要空着机台等待Super Hot RuHot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则视常班向生 产指令而 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。Stage Cycle Time:Lot从进站等候开始到当站加工后出 货时间点截 Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料, 然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都能接近规定的规格,藉以提升制程能

相关文档