文档视界 最新最全的文档下载
当前位置:文档视界 › 实验存储器部件实验(精品)

实验存储器部件实验(精品)

实验存储器部件实验(精品)
实验存储器部件实验(精品)

北京林业大学

11学年—12学年第 2 学期计算机组成原理实验任务书

专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕

实验题目:实验四内存储器部件实验

实验环境:TEC-XP+教学实验系统、PC机

实验内容

1.设计扩展8K字存储器容量的线路图,标明数据线、地址线和控制信号的连接关系。

2.扩展教学机的存储器空间,为扩展存储器选择一个地址,并注意读写等控制信号的正确状态。

3.用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM (58C65)在读写上的异同。

4.用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。

5.用监控程序的A命令编写一段程序,对扩展存储器EEPROM(58C65)进行读写,用D命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行。

实验目的

1.熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处。

2.理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案。

3.了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、写操作。

4.加深理解存储器部件在计算机整机系统中的作用。

实验要求

1.实验之前认真预习,明确实验的目的和具体实验内容,做好实验之前的

必要准备。

2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果;

3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。

4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。

实验说明

内存储器是计算机中存放正在运行中的程序和相关数据的部件。在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括只读存储区(ROM、存放监控程序等)和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址空间分配关系是:0-1777H用于第一组ROM,固化监控程序,2000-2777H用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存容量(存储器的字、位扩展)的教学实验。

在这里还要说明如下两个问题。

第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。

第二,当存储器选用58C65ROM芯片时,它属于电可擦除的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,这是正常的操作方式。也可以通过写内存的指令向芯片的指定单元写入16位的数据,只是每一次的这种写操作需要占用长得多写入时间,例如几百个微秒,可以通过运行完成等待功能的子程序来加以保证。本次试验采用的是通过写内存的指令将数据写入芯片

中。

对58C65ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65ROM芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65ROM芯片的维持时间要比写RAM芯片的操作时间长得多。

实验注意事项

1.连接电源线和通讯线前TEC-XP+实验系统的电源开关一定要处于断开状态,否则可能会对TEC-XP+实验系统上的芯片和PC机的串口造成损害。

2.六个黑色控制开关的功能示意图如下:(开关拨到上方表示为1,拨到下方为0,x表示任意)

单步手动置指令组合逻辑联机8位FPGA

上面

下面

连续从内存读指令微程序脱机16位MACH

3.几种常用的工作方式(开关拨到上方表示为1,拨到下方为0,x表示任意)

4.在拔插实验箱上的任何器件和连线时,都要关闭实验箱电源,否则可能会烧坏实验箱的器件。

实验步骤

一.RAM的写入实验

1.在断电状态下,取出TEC-XP+实验系统,将桌子上的串口线连接到TEC-XP+实验系统的串口(离电源接口远的);连接电源,将电源线的连到TEC-XP+实验系统的电源接口。

2.将教学机左下方的6个拨动开关置为001100(连续、内存读指令、组合逻辑、联机、16 位、MACH)。

3.检查FPGA下方的标有“/CE”的四组插针均是左边两个短接;

4.检查RAM(6116)上方的标有“/WE”的插针应是左边两个短接;

5.RAM(6116)支持即时读写,可直接用A、E命令向扩展的存储器输入程序或改变内存单元的值。RAM中的内容在断电后会消失,重新启动实验机后会发现内存单元的值发生了改变。

(1)打开教学实验机电源。

(2)在PC机上打开https://www.docsj.com/doc/4f2380252.html,,进入实验箱的监控程序。

(3)用E命令改变内存单元的值并用D命令观察结果。

①在命令行提示符状态下输入:

E 2020↙

屏幕将显示:2020 内存单元原值:

按如下形式键入:

2020 原值:2222 (空格)原值:3333(空格)原值:4444(空格)原值:5555↙

②在命令行提示符状态下输入:

D 2020↙

屏幕将显示从2020内存单元开始的值,其中2020——2023的值为:

2222 3333 4444 5555

③断电后重新启动教学实验机,用D命令观察内存单元2020——2023的值。有什么现象?原来置入到这几个内存单元的值现在是什么?为什么会发生这种现象?

(2)用A命令输入一段程序,执行并观察结果。

①在命令行提示符状态下输入:

A 2000↙

屏幕将显示: 2000:

按如下形式键入:

2000: MVRD R0,AAAA

2002: MVRD R1,5555

2004: AND R0,R1

2005: RET

2006:↙

②在命令行提示符状态下输入:

T 2000 ↙

R0 的值变为AAAAH,其余寄存器的值不变。

T↙

R1 的值变为5555H,其余寄存器的值不变。

T↙

R0 的值变为0000H,其余寄存器的值不变。

③在命令行提示符状态下输入:

G 2000

运行输入的程序。

④在命令行提示符状态下输入:

R ↙

记录R0和R1的内容:

⑤断电后重新启动教学实验机,用U 2000命令观察原来输入的程序,有什么现象?原来置入的程序现在还有吗?为什么会发生这种现象?

二.存储容量扩展实验

1.要扩展8K字(字长16位)的存储空间,需要使用2片(每一片有8KB 容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。设计扩展8K字存储器容量的线路图,参照下图标明数据线、地址线和控制信号

的连接关系,图中/MREQ是访存允许信号,地址线没有给出,需要你自己加上。下图中的地址线是~A0,请注明高地址线是多少。

2.将两个用于扩展的ROM(58C65芯片)芯片分别插入标有“EXTROMH”和“EXTROML”的插座,要注意芯片插入的方向,带有半圆形缺口的一方朝左插入。如果芯片插入方向不对,会导致芯片烧毁。

3.将扩展芯片下方的插针按下列方式短接:

①将标有“/MWR”、“PGM”和“RD”的三个插针左面两个短接,这组插针提供芯片的读写信号,就是决定芯片是处于只读状态还是可读写状态,如果“/MWR”和“PGM”连接,表示芯片可写;如果“PGM”和“RD”相连,表示芯片只读不可写;

②将标有“/MRD”、“/OE”和“GND”的三个插针左边两个短接;这组插针提供芯片的OE信号,往芯片里边写入内容的时候“/M RD”和“/OE”短接,要运行写入的程序,则要“/OE”和“GND”短接;

4.将扩展芯片上方标有EXTROMH和EXTROML的“/CS”信号用自锁紧线短接,然后短接到MEMDC138芯片的上方的标有“4000-5fff”地址单元;注意:标有/CS的圆孔针与标有MEM、/CS的一排圆孔针中的任意一个都可以用导线相连;连接的地址范围是多少,可用的地址空间就是多少。

5.58C65芯片的读操作和一般的RAM一样,而其写操作,需要一定的时间,大约为1毫秒。因此,需要编写一延迟子程序,在对EEPROM进行写操作时,调用该子程序,以完成正确的读写。

(1)用E命令改变内存单元的值并用D命令观察结果。

①在命令行提示符状态下输入:

E 5000↙

屏幕将显示: 5000 内存单元原值:

按如下形式键入:

5000 原值:2424(按空格)原值:3636(按空格)原值:4848(按空格)原值:5050↙

②在命令行提示符状态下输入:

D 5000↙

屏幕将显示5000——507F内存单元的值,从5000开始的连续四个内存单元的值依次为2424 3636 4848 5050。

③断电后重新启动,用D命令察看内存单元5000——5003的值,这几个单元的值是否发生改变,为什么?

(2)利用程序,在5000——500F单元中依次写入数据0000、0001、...000F。

注意:将程序放到RAM(6116)中,调用延时子程序,访问58C65芯片中的内存地址。

从2000单元开始输入主程序:

(2000)MVRD R0,0000

MVRD R2,0010 ;R2 记录循环次数

MVRD R3,5000 ;R3 的内容为16 位内存地址

(2006)STRR [R3],R0 ;将R0 寄存器的内容放到R3 给出的内存单元中CALA 2200 ;调用程序地址为2200 的延时子程序

INC R0 ;R0 加1

INC R3 ;R3 加1

DEC R2 ;R2 减1

JRNZ 2006 ;R2 不为0 跳转到2006H

RET

①从2200单元开始输入延时子程序:

(2200)PUSH R3

MVRD R3,00FF

(2203)DEC R3

JRNZ 2203

POP R3

RET

运行主程序,在命令提示符下输入:G 2000↙。

程序执行结束后,在命令提示符下输入:D 5000↙;

记录5000——500F内存单元的值。这16个单元的内容是0000、0001、...000F 吗?

②修改延时子程序,将其延时改长,可将延时子程序中R3的内容赋成FFFF。

运行主程序,在命令提示符下输入:G 2000↙。

程序执行结束后,在命令提示符下输入:D 5000↙;

记录5000——500F内存单元的值。这16个单元的内容是0000、0001、...000F 吗?如果是,为什么前一个延时程序写不进去这16个单元的内容?

注意:实验完成后,取下扩展芯片(用起拔器取下芯片,取的时候一定要小心不要把芯片的引脚弄断了)。

实验考核:

1、实验完毕后上交实验报告,实验报告模板从ftp://202.204.125.21/zhanghaiyan/teaching_plan/本科生/计算机组成原理/实验/中下载,实验报告的内容包括实验目的、实验内容和结果分析,实验报告一律写成word文档。

2、将实验报告上传到ftp://202.204.125.21/zhanghaiyan/homework/计算机组成原理/各班文件夹

3、文件命名要求:学号姓名,例如你叫张三,学号为050101,则实验报告名称为“050101张三.doc”)

实验三:内存储器部件实验

实验三内存储器部件实验 一、实验目的 1、通过学习TEC-2000教学计算机的存储器系统,深入理解计算机主存储器的功能和组成; 2、学习和理解只读存储器、静态存储器芯片的读写原理,掌握计算机存储器系统的扩展方法。 二、实验说明 TEC-2000教学计算机存储器系统由ROM和RAM两个存储区组成。ROM存储区由2个EEPROM芯片58C65(8192×8)组成,容 量为8192×16。RAM存储区由2个RAM芯片6116(2048×8)组成,容量为2048×16。TEC-2000教学计算机中还预留了2个存储 器芯片插座,可以插上相应存储器芯片进行存储器容量扩展的教学实验。 TEC-2000教学计算机存储器系统组成结构图 三、实验内容 1、完成存储器容量扩展实验,为扩展存储器选择一个地址,注意读写和/OE等控制信号的正确状态; 2、用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM(58系列)存储特性的区别以及在读写上的差异; 3、用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 四、实验步骤 1、RAM实验 RAM(6116)支持随机读写操作,可直接用A、E命令向存储器输入程序或改变存储单元的值。RAM中的内容在断电后会消失,重新启动教学机后会发现存储单元的值发生了改变。 1)用E命令改变内存单元的值并用D命令观察结果。 ⑴在命令行提示符状态下输入: E 2020↙ 屏幕显示2020 内存单元原值。按如下形式键入: 2222(空格)3333(空格)4444(空格)5555 ⑵在命令行提示符状态下输入: D 2020↙ 观察屏幕显示的从2020内存单元开始的值。 ⑶断电后重新启动教学实验机,用D命令观察内存单元2020-2023的值。 2)用A命令输入一段程序,执行并观察结果。 ⑴在命令行提示符状态下输入: A 2000↙ 键入如下汇编程序: 2000:MVRD R0, AAAA 2002:MVRD R1, 5555 2004:AND R0, R1

实验存储器部件实验(精品)

北京林业大学 11学年—12学年第 2 学期计算机组成原理实验任务书 专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕 实验题目:实验四内存储器部件实验 实验环境:TEC-XP+教学实验系统、PC机 实验内容 1.设计扩展8K字存储器容量的线路图,标明数据线、地址线和控制信号的连接关系。 2.扩展教学机的存储器空间,为扩展存储器选择一个地址,并注意读写等控制信号的正确状态。 3.用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM (58C65)在读写上的异同。 4.用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 5.用监控程序的A命令编写一段程序,对扩展存储器EEPROM(58C65)进行读写,用D命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行。 实验目的 1.熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处。 2.理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案。 3.了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、写操作。 4.加深理解存储器部件在计算机整机系统中的作用。 实验要求 1.实验之前认真预习,明确实验的目的和具体实验内容,做好实验之前的

必要准备。 2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果; 3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 实验说明 内存储器是计算机中存放正在运行中的程序和相关数据的部件。在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括只读存储区(ROM、存放监控程序等)和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址空间分配关系是:0-1777H用于第一组ROM,固化监控程序,2000-2777H用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存容量(存储器的字、位扩展)的教学实验。 在这里还要说明如下两个问题。 第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。 第二,当存储器选用58C65ROM芯片时,它属于电可擦除的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,这是正常的操作方式。也可以通过写内存的指令向芯片的指定单元写入16位的数据,只是每一次的这种写操作需要占用长得多写入时间,例如几百个微秒,可以通过运行完成等待功能的子程序来加以保证。本次试验采用的是通过写内存的指令将数据写入芯片

(整理)计算机组成实验五-存储器读写实验

实验五存储器读写实验 一、实验目的 1.掌握存储器的工作特性。 2.数学静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 存储器是计算机的主要部件,用来保存程序和数据。从工作方式上分类,存储器可分成易失性和非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性储器又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数 据;静态存储器只要不断电,信息是不会丢失的。为简单起见,计算机组成实验用的是容量为2K的镜头存储器6116。 1.静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。 6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态、输 出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态; 11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线 片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;为写入控制信号,低电平时,把数据线上 的信息存入地址线A10-A0指示的存储单元中;为输出使能控制信 号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数 据线上。芯片控制信号逻辑功能见表2-9。 表2-9 6116芯片控制信号逻辑功能表

图2-7 存储器部件电路图 2. 3.存储器实验单元电力路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的三根地址线A11-A8接地也没有多片联用问题,片选信号接地使芯片总是处于被选中状态。芯片的WE和信号分别连接实验台的存储器写信号和存储器读写信号,存储器实验单元逻辑电路如图2-7所示。这种简化了控制过程的实验电路可方便实验进行,存储器实验单元电路控制信号逻辑功能见表2-10。

计算机组成原理实验报告书

《计算机组成原理》 实验报告 学院: ______________ 专业:_______________ 班级:______________ 学号:______________ 姓名:______________

目录 1 软件的安装、测试与使用 (3) 2 基本汇编指令应用 (8) 3 脱机运算器实验 (16) 4 存储器部件教学实验 (18) 5 I/O口扩展实验 (22) 6 中断实验 (26)

1 软件的安装、测试与使用 实验目的: 1.学习和了解TEC-XP教学实验系统监控命令的用法; 2.学习和了解TEC-XP教学实验系统的指令系统; 实验内容: 1.学习联机使用TEC-XP教学实验系统和仿真终端软件PCEC. 2. 熟悉实验箱三种工作模式:仿真、脱机、联机 实验要求 在使用该教学机之前,应先熟悉教学机的各个组成部分,及其使用方法。 实验步骤 一.实验具体操作步骤: 1.准备一台串口工作良好的PC机; 2.将TEC-XP放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3.将黑色的电源线一端接220V交流电源,另一端插在TEC-XP实验箱的电源插座里; 4.取出通讯线,将通讯线的9芯插头接在TEC-XP实验箱上的串口“COM1”或“COM2” 上,另一端接到PC机的串口上; 5.将TEC-XP实验系统左下方的五个黑色的控制机器运行状态的开关置于正确的位置,在找个实验中开关应置为00110(连续、内存读指令、组合逻辑、联机、16位),控制开 关的功能在开关上、下方有标识;开关拨向上方表示“1”,拨向下方表示“0”, “X”表示任意,其它实验相同; 6.打开电源,船形开关和5V电源指示灯亮。 7.在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机的串口为“1”或“2”, 其它的设置一般不用改动,直接回车即可。(具体步骤附后) 8.按一下“RESET”按键,再按一下“START”按键,主机上显示: TEC-2000 CRT MONITOR Version 1.0 April 2001 Computer Architectur Lab., Tsinghua University Programmed by He Jia > 二、实验注意事项:

静态存储器实验报告

静态随机存储器实验 组员: 组号:21组 日期:周二5、6节

【实验目的】 掌握静态随机存储器RAM工作特性及数据的读/写方法。 【实验设备】 实验仪一台、PC机一台(观察波形) 【实验原理】 由一片6116(2K x 8)芯片、一片8位锁存器(74LS273)、一片8位三态门(74LS245)构成存储器原理图。 存储器实验原理图 由于存储器地址是由数据开关(input device)锁存在(273),存储器写数据也是由数据开关提供的,因此要分时给出地址和写数据。 因地址寄存器为8 位,所以接入6116 的地址为A7~A0,而高三位A8~A10 接地,所以其实际容量为256 字节。6116 有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效(CE=0)时,OE=0 时进行读操作,WE=0 时进行写操作。本实验中将OE 常接地,在此情况下,当CE=0、WE=0 时进行读操作,CE=0、WE=1 时进行写操作,其写时间与T3 脉冲宽度一致。 实验时将T3 脉冲接至实验板上时序电路模块的TS3 相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW-B 为低电平有效,LDAR 为高电平有效。 【实验步骤】 (1) 形成时钟脉冲信号T3。具体接线方法和操作步骤如下: ①接通电源,用示波器接入方波信号源的输出插孔H23,调节电位器W1 及W2 ,使H23 端输出 实验所期望的频率及占空比的方波。 ②将时序电路模块(STATE UNIT)单元中的ф和信号源单元(SIGNAL UNIT)中的H23 排针相连。 ③在时序电路模块中有两个二进制开关“STOP”和“STEP”。将“STOP”开关置为“RUN”状

西南交大 实验8 指令存储器与取指令部件的设计

实验8 指令存储器与取指令部件的设计 西南交大计算机组成原理实验(代码) 实验要求:建立256*16的指令存储器ROM,将它关联到元件IPM-Rom,有PC 值决定存储器地址,PC有清零,置数,自动加一,自动减一功能,并将指令输出到数码管显示。 实验原理:建立内存文件,256代表内存地址是8位,16代表内存数据是16位实验代码: PC: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PC is port(clk,reset,load,add:in std_logic; inn:in std_logic_vector(7 downto 0); output:buffer std_logic_vector(7 downto 0)); end; architecture one of PC is begin process(clk) begin --wt<=load&add; if clk'event and clk='1' then if reset='1' then output<="00000000"; else if load='1' then output<=inn; else if add='1' then output<=output+1; else output<=output-1; end if; end if; end if; end if; end process; end; FRQ:用于分频 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FRQ is port(clk_in:in std_logic; clk_out:out std_logic); end;

存储器和IO扩展实验,计算机组成原理

科技学院 课程设计实验报告 ( 2014--2015年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:一周 成绩: 日期:2015 年1 月

一、目的与要求 1. 内存储器部件实验 (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案; (3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65 ROM芯片的读、写操作; (5)加深理解存储器部件在计算机整机系统中的作用。 2. I/O口扩展实验 学习串行口的正确设置和使用。 二、实验正文 1.主存储器实验内容 1.1实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布) 在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括唯读存储区(ROM,存放监控程序等) 和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB 的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片 实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分 成3组,其地址空间分配关系是:0-1777h用于第一组ROM,固化监控程序, 2000-2777h用于RAM,保存用户程序和用户数据,其高端的一些单元作为监 控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩 展内存容量(存储器的字、位扩展)的教学实验。 1.2扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读写时的特殊要求 要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯片实现。对 58C65 ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平, 使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65 ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65 ROM 芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信 号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65 ROM芯片的 维持时间要比写RAM芯片的操作时间长得多。为了防止对58C65 ROM芯片执 行误写操作,可通过把芯片的使能控制引脚(/OE)接地来保证,或者确保读 写命令信号(/WE)恒为高电平。 1.3在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命令则有时不正确;

实验一 存储器实验

实验一存储器实验 1.FPGA中LPM_ROM定制与读出实验 一.实验目的 1、掌握FPGA中lpm_ROM的设置,作为只读存储器ROM的工作特性与配置方法。 2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于 lpm_ROM中; 3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中mega_lpm_ROM的功能。 二.实验原理 ALTERA的FPGA中有许多可调用的LPM (Library Parameterized Modules)参数化的模块库,可构成如lpm_rom、lpm_ram_io、lpm_fifo、lpm_ram_dq的存储器结构。CPU 中的重要部件,如RAM、ROM可直接调用她们构成,因此在FPGA中利用嵌入式阵列块EAB 可以构成各种结构的存储器,lpm_ROM就是其中的一种。lpm_ROM有5组信号:地址信号address[ ]、数据信号q[ ]、时钟信号inclock、outclock、允许信号memenable,其参数都就是可以设定的。由于ROM就是只读存储器,所以它的数据口就是单向的输出端口,ROM中的数据就是在对FPGA现场配置时,通过配置文件一起写入存储单元的。图3-1-1中的lpm_ROM有3组信号:inclk——输入时钟脉冲;q[23、、0]——lpm_ROM的24位数据输出端;a[5、、0]——lpm_ROM的6位读出地址。 实验中主要应掌握以下三方面的内容: ⑴ lpm_ROM的参数设置; ⑵ lpm_ROM中数据的写入,即LPM_FILE初始化文件的编写; ⑶lpm_ROM的实际应用,在GW48_CP+实验台上的调试方法。 三.实验步骤 (1)用图形编辑,进入mega_lpm元件库,调用lpm_rom元件,设置地址总线宽度address[] 与数据总线宽度q[],分别为6位与24位,并添加输入输出引脚,如图3-1-1设置与连接。 (2)设置图3-1-1为工程。 (3)在设置lpm_rom数据参数选择项lpm_file的对应窗口中(图3-1-2),用键盘输入 lpm_ROM配置文件的路径(rom_a、mif),然后设置在系统ROM/RAM读写允许,以便能

广工计算机组成原理实验报告

计算机组成原理实验报告 课程名称计算机组成原理实验 学院计算机 专业班级 学号 学生姓名 指导教师张 2017年 6 月21

计算机学院专业班学号 姓名协作者教师评定_______________ 实验题目实验一基础汇编语言程序设计 实验一:基础汇编语言程序设计实验 1实验目的 ●学习和了解TEC-XP+教学实验监控命令的用法; ●学习和了解TEC-XP+教学实验系统的指令系统; ●学习简单的TEC-XP+教学实验系统汇编程序设计。 2实验设备及器材 ●工作良好的PC机; ●TEC-XP+教学实验系统和仿真终端软件PCEC。 3实验说明和原理 实验原理在于汇编语言能够直接控制底层硬件的状态,通过简单的汇编指令查看、显示、修改寄存器、存储器等硬件内容。 实验箱正如一集成的开发板,而我们正是通过基础的汇编语言对开发板进行使用和学习,过程中我们不仅需要运用汇编语言的知识,还需要结合数字逻辑中所学的关于存储器、触发器等基本器件的原理,通过串口通讯,实现程序的烧录,实验箱与PC端的通讯。

4实验内容 1)学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2)学习使用WINDOWS界面的串口通讯软件; 3)使用监控程序的R命令显示/修改寄存器内容、D命令显示存储内容、E命令 修改存储内容; 4)使用A命令写一小段汇编程序,U命令反汇编输入的程序,用G命令连续 运行该程序,用T、P命令单步运行并观察程序单步执行情况。 5实验步骤 1)准备一台串口工作良好的PC机器; 2)将TEC-XP+放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3)将黑色的电源线一段接220V交流电源,另一端插在TEC-XP+实验箱的电源 插座里; 4)取出通讯线,将通讯线的9芯插头接在TEC-XP+实验箱上的串口"COM1"或 "COM2"上,另一端接到PC机的串口上; 5)将TEC-XP+实验系统左下方的六个黑色的控制机器运行状态的开关置于正 确的位置,再找个实验中开关应置为001100(连续、内存读指令、组合逻辑、联机、16位、MACH), 6)控制开关的功能在开关上、下方有标识;开关拨向上方表示"1",拨向下方表示 "0","X"表示任意,其他实验相同; 7)打开电源,船型开关盒5V电源指示灯亮; 8)在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机 的串口为"1"或"2",其他的设置一般不用改动,直接回车即可; (8)按一下"RESET"按键,再按一下"START"按键,主机上显示:

数字逻辑与计算机组成原理实验报告

数字逻辑与计算机组成原理实验指导书 实验目录 实验一基本逻辑电路测试 实验二时序线路分析 实验三计算机运算部件设计 实验四计算机存储部件设计 实验五总线传送技术 1

实验一基本逻辑电路测试 一.实验目的 1.掌握TTL与非门,与或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL中,小规模集成电路的外型,管脚和使用方法。 3.熟悉TDS―1实验系统的功能和使用方法。 二.实验所用器材 1.二输入四与非门74LS00一片 2.二输入四或非门74LS02(74LS28)一片 3.二输入四异或门74LS86一片 4.数字万用表 5.示波器 三.实验内容 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2.测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 4.用数字万用表测量各输入输出电压值并记录。 5.熟悉THD―1实验系统的功能和使用方法。 6.熟悉示波器的使用,练习测量各种脉冲波形。 四.实验提示 1.将被测器件插入实验台上的14芯插座中。 2.将器件的引脚7与实验台的地(GND)连接,将器件的引脚14与实验台的+5V连接。3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。4.将被测器件的输出引脚与实验台上的电平指示灯连接,指示灯亮表示输出电平为1,指示灯灭表示输出电平为0。 五.实验接线图及实验结果 74LS00中包含4个二与非门,74LS02中包含4个二或非门,74LS86中包含4个异或门,下面各画出测试第一个逻辑门逻辑关系的接线图及测试结果。测试其他逻辑门时的接线图与之类似。测试时各器件的引脚7接地,引脚14接+5V,图中的K1,K2是电平开关输出,LED0是电平指示灯。 2

1计算机组成原理实验3_内存储器部件实验

《计算机原理实验》实验报告3 内存储器部件实验 一、实验结果 (一)存储器读写测试验证 1、用E命令改变内存单元的值并用D命令观察结果 (1)在命令行提示符状态下输入:E 2020↙ 屏幕将显示2020内存单元原值为: 按如下形式键入:2020 原值:2222 (空格)原值:3333(空格)原值:4444(空格)原值:5555↙(2)在命令行提示符状态下输入:D 2020↙ 屏幕将显示从2020内存单元开始的值,其中2020H~2023H的值为: 2222 3333 4444 5555 (3)断电后重新启动教学实验机,用D命令观察内存单元2020~2023的值。 2020H~2023H的值为:0400 BFFF 0000 FFEF 说明了RAM 断电数据易丢失。 2、用A命令输入一段程序,执行并观察结果 (1)在命令行提示符状态下输入: A 2000↙ 屏幕将显示:2000: 按如下形式键入: 2000:MVRD R0,AAAA 2002:MVRD R1,5555 2004:AND R0,R1 2005:RET 2006:↙ (2)在命令行提示符状态下输入: T 2000 ↙

R0的值变为:AAAA T↙ R1的值变为:5555 T↙ R0的值变为:0000 (3)在命令行提示符状态下输入: G 2000 运行输入的程序。 (4)在命令行提示符状态下输入: R ↙ 屏幕显示: R0=0000 R1= 5555 R2= 00BF 二、思考题 1、深入理解内存分成ROM存储区和RAM存储区两部分的理由。 答:ROM是只读存储器的简称,是一种只能读出事先所存数据的固态半导体存储器,其特性是一旦储存资料就无法再将至改变或删除。RAM是随机存储器,存储单元的内容可按需随意取出或存入,且存取的速度与存储单元的位置无关的存储器,这种存储器断电时将丢失其存贮内容,故主要用于存储段时间使用的程序。

存储器实验报告

计组实验三实验报告 实验日期:2015 年4 月14 日学号:201308010227 姓名:吴晗 实验名称:存储器实验总分: 一.实验内容 1.随机存储器RAM的工作特性及使用方法 2.RAM数据存储和读取的工作原理 3.LPM类存储元件的定制 二.实验原理 原理图:

三.实验电路图: RAM电路图: addr[7..0]:地址输入 clk:时钟信号 we,rd;mem:控制信号,高电平有效 Initial_file.mif文件: 存储器电路图: i[7..0]:数据输入l[7..0]:总线数据 sw_bus:pc_bus:三态门控制信号 ld161,clr161,pc161:74161控制信号,控制置数,读取,清零,计数 ldar:74273控制信号 w,r,m:RAM控制信号

仿真图: 仿真说明: 时间参数:End Time:2.0us Grid Size:100ns 端口说明: clk:时钟信号 i[7..0]:数据输入l[7..0]:总线数据 sw_bus:pc_bus:三态门控制信号,控制数据输出到总线 ld161,clr161,pc161:74161控制信号,控制置数,读取,清零,计数 ldar:74273控制数据从总线读入 w,r,m:RAM控制信号 仿真说明: 0-100ns:无操作 100-200ns:sw_bus为0有效,从i读入01,74161置数状态,RAM默认状态,总线

数据01 200-300ns:sw_bus为0有效,pc_bus无效,从i读入01,74161保持状态,RAM默认状态,总线数据01 300-400ns:pc_bus为0有效,sw_bus无效,74161保持状态,RAM默认状态,总线数据01,ldar为1,跳入下一个地址 400-500ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取E1,总线E1 500-600ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取D2,总线D2 600-700ns:sw,pc_bus无效,74161保持状态,RAM写入状态,总线输入EE,写入RAM 700-800ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取EE,总线EE 800-900ns:pc_bus为0有效,sw_bus无效,74161计数加1状态,RAM默认状态,总线数据01在上升沿时变为02 900-1000ns:pc_bus为0有效,sw_bus无效,74161计数加1状态,RAM默认状态,总线数据02在上升沿时变为03,ldar为1,跳入下一个地址1000-1100ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取F3,总线EE在上升沿变为F3 1100-1200ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取F3,总线F3 仿真结论:仿真结果与理论相符,仿真成功 五.硬件验证 管脚分配: 选择FLEX10K-EPF10K20TC144-4器件下载验证与仿真结果相符,实验成功。

实验五存储器读写实验报告

实验五存储器读写实验报告 实验报告 课程名:《计算机组成原理》题目:实验五存储器读写班级:计算机+ 自动化0901班姓名:张哲玮,郑俊飞 《计算机组成原理》实验报告- 1 - 实验五、存储器读写实验 一、目的与要求 (1)掌握存储器的工作特性 (2)熟悉静态存储器的操作过程,验证存储器的读写方法 二、实验原理及原理图 (1)?静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的静态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态,输出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态;11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线CS片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;WE 为写入控制信号,低电平时,把数据线上的信息存入地址线A10-A0指示的存储单元中;0E为输出使能控制信号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数据线上。

6116芯片控制信号逻辑功能表 (2).存储器实验单元电路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的3根地址线A11-A8接地也没有多片联用问题,片选信号CS接地使芯片总是处于被选中状态。芯片的WE和0E信号分别连接实验台的存储器写信号M-W和存储器读信号M-Ro这种简化了控制过程的实验电路可方便实验进行。 存储器部件电路图 (3)?存储器实验电路 存储器读\写实验需三部分电路共同完成:存储器单元(MEM UNIT),地址寄存器单元(ADDRESS UNIT)和输入,输出单元(INPUT/OUTPIT UNIT).存储器单元6116芯片为中心构成,地址寄存器单元主要由一片74LS273组成,控制信号B-AR的作用是把总线上的数据送入地址寄存器,向存储器单元电路提供地址信息,输入,输出单元作用与以前相同。

实验一存储器实验

实验一存储器实验 1.FPGA中LPM_ROM定制与读出实验 一.实验目的 1、掌握FPGA中lpm_ROM的设置,作为只读存储器ROM的工作特性和配置方法。 2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于 lpm_ROM中; 3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中mega_lpm_ROM的功能。 二.实验原理 ALTERA的FPGA中有许多可调用的LPM (Library Parameterized Modules)参数化的模块库,可构成如lpm_rom、lpm_ram_io、lpm_fifo、lpm_ram_dq的存储器结构。CPU 中的重要部件,如RAM、ROM可直接调用他们构成,因此在FPGA中利用嵌入式阵列块EAB可以构成各种结构的存储器,lpm_ROM是其中的一种。lpm_ROM有5组信号:地址信号address[ ]、数据信号q[ ]、时钟信号inclock、outclock、允许信号memenable,其参数都是可以设定的。由于ROM是只读存储器,所以它的数据口是单向的输出端口,ROM中的数据是在对FPGA现场配置时,通过配置文件一起写入存储单元的。图3-1-1中的lpm_ROM有3组信号:inclk——输入时钟脉冲;q[23..0]——lpm_ROM的24位数据输出端;a[5..0]——lpm_ROM的6位读出地址。 实验中主要应掌握以下三方面的内容: ⑴lpm_ROM的参数设置; ⑵lpm_ROM中数据的写入,即LPM_FILE初始化文件的编写; ⑶lpm_ROM的实际应用,在GW48_CP+实验台上的调试方法。 三.实验步骤 (1)用图形编辑,进入mega_lpm元件库,调用lpm_rom元件,设置地址总线宽度address[]和数据总线宽度q[],分别为6位和24位,并添加输入输出引脚,如图3-1-1设置和连接。 (2)设置图3-1-1为工程。 (3)在设置lpm_rom数据参数选择项lpm_file的对应窗口中(图3-1-2),用键盘输入

静态随机存储器实验实验报告

**大学 实验(实训)报告 实验名称运算器、存储器所属课程计算机组成与结构所在系计算机科学与技术班级 学号 姓名 指导老师 实验日期

实验静态随机存储器实验 2.1. 实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 2.2. 实验内容 给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据 11H、12H、13H、14H、15H,再依次读出数据。 2.3. 实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 2.4. 实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如下图,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。 图2-1 SRAM 6116 引脚图 由于存储器最终挂接到CPU上,所以还需要一个读写控制逻辑,使得CPU能控制MEM 的读写,实验中的读写控制逻辑如图2-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出。IOM用来选择是对 I/O还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

实验原理如图2-3所示,存储器数据线接至数据总线,数据总线上接有8个LED 灯显示D7…D0 的内容。地址线接至地址总线,地址总线上接有8个LED 灯显示A7…A0的内容,地址由地址锁存器给出。数据开关经一个三态门连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 图2-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD 、WR 高有效,MR 和MW 低有效,LDAR 高有效。 2.5.实验步骤 MR MW D7 —————D0D7 —————D0 A7 —————A0 OE CS T3 IOM RD WE 读写译码 RD WR 74LS27374LS245IN 单元 AD7 | || AD0 LDAR IOR IN_B A10 —A8————— ————— ——————————----—————6116

存储器实验实验报告

存储器实验实验报告

一、实验目的 练习使用STEP开关 了解地址寄存器(AR)中地址的读入 了解STOP和STEP开关的状态设置 了解向存储器RAM中存入数据的方法 了解从存储器RAM中读出数据的 二、实验设备 1、TDN-CM+组成原理实验仪一台 2、导线若干 3、静态存储器:一片6116(2K*8)芯片 地址锁存器(74LS273) 地址灯AD0-AD7 三态门(74LS245) 三、实验原理 实验所用的半导体静态存储器电路原理如图所示, 实验中的静态存储器由一片6116(2K*8)芯片构成,其数据 线接至数据总线,地址线由地址锁存器(74LS273)给出。 地址灯AD0-AD7与地址线相连,显示地址线状况。数据开关 经一个三态门(74LS245)连至数据总线,分时给出地址和 数据。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,在时序电路模块中有两个二进制开关“STOP”和“STEP”,将 “STOP”开关置为“RUN”状态、“STEP”开关置为“EXEC”状态时,按动微动开关START,则TS3端输出连续的方波信号当“STOP”开 关置为RUN状态,“STEP”开关置为“STEP”状态时,每按动一次 微动开关“start”,则TS3输出一个单脉冲,脉冲宽度与连续 方式相同。

四、实验内容 如下图 存储器实验接线图

(一) 练习使用STEP开关 往地址寄存器(AR)中存入地址 设置STOP和STEP开关的状态: 从数据开关送地址给总线:SW-B=___ 打开AR,关闭存储器:LDAR=___、CE=___ 按下Start产生T3脉冲 关闭AR,关闭数据开关:LDAR=__、SW-B=__ (二) 往存储器RAM中存入数据 1.设定好要访问的存储器单元地址 2.从数据开关送数给总线:SW-B=___ 3.选择存储器片选信号:CE=___ 4.选择读或写:WE=____ 5.按下Start产生T3脉冲 6.关闭存储器片选信号:CE=___ 7.关闭数据开关:SW-B=___ (三) 从存储器RAM中读出数据 1.设定好要访问的存储器单元地址 2.选择存储器片选信号:CE=___ 3.选择读或写:WE=____ 4.按下Start产生T3脉冲 5.关闭存储器片选信号:CE=___

微机原理实验---存储器的扩展实验

深圳大学实验报告 课程名称:微机计算机设计 实验项目名称:静态存储器扩展实验 学院:信息工程学院 专业:电子信息工程 指导教师: 报告人:学号:2009100000班级:<1>班实验时间:2011. 05. 05 实验报告提交时间:2011. 05. 31 教务处制

一、实验目的 1. 了解存储器扩展的方法和存储器的读/写。 2. 掌握CPU对16位存储器的访问方法。 二、实验要求 编写实验程序,将0000H~000FH 共16 个数写入SRAM 的从0000H 起始的一段空间中,然后通过系统命令查看该存储空间,检测写入数据是否正确。 三、实验设备 PC 机一台,TD-PITE 实验装置或TD-PITC 实验装置一套。 四、实验原理 1、存储器是用来存储信息的部件,是计算机的重要组成部分,静态RAM 是由MOS 管组成的触发器电路,每个触发器可以存放1 位信息。只要不掉电,所储存的信息就不会丢失。此,静态RAM 工作稳定,不要外加刷新电路,使用方便。 2、本实验使用两片的62256芯片,共64K 字节。本系统采用准32 位CPU,具有16 位外部数据总线,即D0、D1、…、D15,地址总线为BHE#(#表示该信号低电平有效)、BLE#、A1、A2、…、A20。存储器分为奇体和偶体,分别由字节允许线BHE#和BLE#选通。存储器中,从偶地址开始存放的字称为规则字,从奇地址开始存放的字称为非规则字。处理器访问规则字只需要一个时钟周期,BHE#和BLE #同时有效,从而同时选通存储器奇体和偶体。处理器访问非规则字却需要两个时钟周期,第一个时钟周期BHE#有效,访问奇字节;第二个时钟周期BLE#有效,访问偶字节。处理器访问字节只需要一个时钟周期,视其存放单元为奇或偶,而BHE#或BLE#有效,从而选通奇体或偶体。 五、实验过程 1、按图接线好电路。 2. 编写实验程序,经编译、链接无误后装入系统。 实验部分代码如下: STACK SEGMENT STACK DW 32 DUP(?) STACK ENDS CODE SEGMENT START PROC FAR ASSUME CS:CODE MOV AX, 8000H ; MOV DS, AX AA0: MOV SI, 0000H ; MOV CX, 0010H MOV AX, 0000H AA1: MOV [SI], AX

计算机组成原理存储器实验报告

福建农林大学计算机与信息学院信息工程类实验报告系:计算机系专业:计算机科学与技术年级: 2007级姓名:学号:实验课程:计算机组成原理 实验室号:__ 实验设备号: 1 实验时间: 2009年5月11日 指导教师签字:成绩: 实验二存储器实验 1.实验目的和要求 1.掌握静态随机存储器RAM工作特性。 2.掌握静态随机存储器RAM的数据读写方法。 2.实验原理 实验所用的半导体双端口静态存储器电路原理如图2-1所示,实验中的双端口静态存储器的左端口和右端口,它们分别具有各自独立的地址线(A0-A9)、数据线(I/O0-I/O7)和控制线(R/W,CE,OE,BUSY)。它的结构参考附录1中的7130结构图。在实验系统的大多数实验中,该芯片仅使用了右端口的数据线、地址线、控制线,使用方法与通用的单端口静态存储器相同;在做与流水相关的实验中同时用到了它的左、右端口。本节实验中左、右端口数据线接至数据总线,左、右端口地址由地址锁存器(74LS273)给出。地址灯LI01—LI08与地址总线相连,显示地址内容。输入单元的数据开关经一三态门(74LS245)连至数据总线,分别给出地址和数据。 图2-1 存储器实验原理

地址总线为8位,接入IDT7130的地址AL7—AL0与AR0—AR7,将IDT7130的高两位AR8-AR9接地,所以其实际容量为256字节。IDT7130两个端口分别有三个独立的控制线,如右边有:CER(右端口片选线)、OER(右端口读线)、R/WR(右端口写线)。本实验中将左、右端口的读线OER常接地,在此情况下,当CER=0、R/WR=0时进行右端口写操作,CER=0、R/WR=1时进行右端口读操作,其写时间与T3脉冲宽度一致。原理图中右端口的地址线AR8—AR9接地,其访问实际容量为256字节。同时由于左端口的写信号R/WL常接地=高电平,所以左端口的写功能被封锁了,故实验时输入数据从右端口写入,从左端口读出。实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插针中,其它电平控制信号由开关单元的二进制开关给出,其中SW_G为低电平有效,LDAR为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZY15Comp12BB计算机组成原理教学实验箱一台,排线若干。 4.操作方法与实验步骤 1.形成时钟脉冲信号T3,具体接线方法和操作步骤如下: ①将S信号单元中的TS3和T3用排线相连。 ②将控制台单元中的两个二进制开关“SP03”设置为“STEP”状态、“SP04”设置为“RUN”状态(当“SP03”开关设置为“RUN”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3的输出为连续的方波信号。当“SP03”开关设置为“STEP”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。) 2.按图3-2连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分)

存储器实验

南京晓庄学院 信息工程学院 计算机组成原理课程 实 验 报 告 实验名称:存储器实验 年级专业班级:14级计算机科学与技术专业14计算机转本1 班级学号:14131504 姓名:康志勇 时间:2016 年 11月26 日 一、实验目的、要求:

EXD0EXD1EXD2EXD3EXD4EXD5EXD6EXD7 A010A19A28A37A46A55A64A73A825A924A1021A1123A122CS 1 20 CS 226WE 27OE 22D0 11D112D213D315D416D517D618D7 19 U52 6264 G ND V CC AD0AD1AD2AD3AD4AD5AD6AD7 CE` P 1.2P 1.1 图3-5 D13 Q1 2 D24Q2 5 D37Q3 6 D48Q4 9 D513Q5 12 D614Q6 15 D717Q7 16 D818 Q819 CLK 11CLR 1 U3774LS 273 V CC A02A13A24A35A46A57A68A79 B0 18 B1 17 B2 16 B3 15 B4 14 B5 13 B6 12 B7 11 E 19 DIR 1 U5174LS 245 S WB`V CC G ND KD6KD5KD4KD3KD0 KD2KD7KD1输入数据 L Z D 0-L Z D 7 UN2A 74LS 08 LDAR T 32 13 L D 0-L D 7L A D 0-L A D 7 45 6T 3WE UN2B 74001、掌握静态随机存取存储器RAM 工作特性及数据的读写方法。 二、实验仪器设备、器件及环境: 仪器设备名称 规格型号 编号 备注 模型机运算器 DVCC-C8JH 20112034 三、实验方法、原理: 图1.1.1 主存储器单元电路主要用于存放实验机的机器指令,如图1.1.1所示,它的数据总线挂在 外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED 灯LAD0~LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内

相关文档
相关文档 最新文档