文档视界 最新最全的文档下载
当前位置:文档视界 › Actel FPGA结构简介

Actel FPGA结构简介

Actel FPGA结构简介
Actel FPGA结构简介

Actel FPGA结构简介

这篇关于Actel不同种类设备系列的综述涵盖了在充足细节上的主要结构特征,以确保读者相当熟悉Actel的设备,从而能从本节其余部分获取尽量多的应用笔记。关于每个产品功能的细节同样可以用在个别设备的产品说明上。

FPGA结构要求

数字化系统设计正在变得越来越难。系统要求不断增加的复杂性和性能,但是上市时间的紧迫性依旧限制发展周期。系统花费也是一个重要的限制,因此必须要找到一个满足严格财政指标的解决办法。这些相互矛盾的需求要求(我们)找到一个最优化的数字逻辑设计解决办法,以同时满足容量、性能、和上市时间的要求。一个最优化的结构必须能平衡全部相互矛盾的要求。Actel的结构通过提供容量、性能、耗费和使用舒适度之间的合适平衡来满足了这些全部要求,这期间使用了一个在最优逻辑单元、丰富又相互联系的资源、有效的硅使用量和强力的软件设计工具这四者之间的革新组合。

Actel设备结构

一个Actel FPGA的基础结构与一个传统的门阵列的是非常相似的。这个设备的核心是由被用于执行被需求的逻辑门和存储元素的简单逻辑单元组成。这些逻辑单元与大量分割的路由磁道相互联系。与门阵列不同,片段长度是被预先定义和能与低阻抗交换元素联系来建立相互联系信号所要求的准确路由长度。周围的逻辑核心是;连着设备的I/O衰减器。这个接口是由负责传输和相互连接从设备核心发到FPGA输出衰减器间的信号的I/O模块组成。一个一般的Actel FPGA 的一个模型图表如图1所示。

Actel FPGA结构的主要元素是I/O单元,相互联系的资源,定时资源和逻辑单元。每个Actei FPGA系列都有一个稍稍不同的资源组合,但都是在不同耗费、性能、密集要求之间的优化。列表1显示每种Actel FPGA系列的能力。每种能力都在列表1下面的章节里面被精确解释。

逻辑模块描述

最优的逻辑模块应该为用户提供性能,效率,和要求执行申请的设计舒适度的正确组合。如果逻辑模块提供了性能而没有提供效率,那设计的花费和容量要求也许就不能达到。同样地,如果在性能消耗和使用舒服度的基础上花费和容量要求达到了,那么设备可能就不能使用。最优逻辑模块必须能严格平衡这些交易,以确保能达到设计者的一些冲突的目标。

简单逻辑模块

最初的Actel逻辑模块就是用于ACT1系列上的简单逻辑模块,如图2所示。它是以多

路复用器伟基础的逻辑模块。通过联系从路由磁道到数据输入的信号来执行逻辑功能,并且逻辑功能也能选择多路复用器的线路。如果需要的话,输入也能放在逻辑1或0上,即使这些信号总是在路由轨道上可用。

很多很多有用的逻辑功能都能通过这个模块来执行。大家都知道,多路复用技术是非常有效率的,但是随机的和有次序的逻辑功能也都是有效的。这些可选项为设计者提供了极好的组合来组合要满足运用各种各样逻辑功能的逻辑容量。图3显示的是用Actel简单逻辑模块来执行逻辑功能的例子。注意了,门闩线路能在一个简单的逻辑模块的每点被执行,以及寄存器每点需要两个逻辑模块。ACT1逻辑单元在一个有组合有次序的逻辑的范围内事极其灵活的。

图1:基本Actel FPGA结构

I/O Modules: I/O模块Logic Modules:逻辑模块

High—Drive Clock Buffer:高—驱动器时钟缓冲器

Channel—Oriented Segmented Routing Tracks:渠道—东方的的段发送跟踪

列表1:Actel FPGA系列的主要结构特色总括

图2:简单逻辑模块

图3:ACT1简单逻辑模块执行逻辑功能举例

组合逻辑模块

第二代ACT2系列就是在简单逻辑模块上做一些改进。用两个不同的逻辑模块替换简单逻辑模块,一个是用来执行组合逻辑(被称为组合逻辑模块),另一个是用来执行存储元素(被称为序列逻辑模块)。在图4的简图里显示的组合逻辑模块与简单逻辑模块相似,但是一个额外的逻辑门被放在了第一级多路复用器上。这个增添的逻辑门改进了一些组合功能的执行力。(一些五个输入口的逻辑门现在也能完成这个功能。)还有,在简单逻辑模块上的第一级多路复用器线路与组合逻辑模块相连。在简单逻辑模块中,分离的多路复用器选择线路是被用来有有效地执行门闩线路和寄存器。组合逻辑模块里是没有这个要求的,因为增加了序列逻辑模块。图5举了一个组合逻辑单元执行逻辑功能的例子

图4:组合逻辑模块

图5:组合逻辑模块执行逻辑功能举例

序列逻辑模块

在图6的简图上显示的序列逻辑模块在逻辑单元的输出上有一个专门存储部分的组合逻辑模块。这个存储部分既是一个寄存器也是一个门闩。(它也能被绕过,因此逻辑模块也能被用作组合逻辑模块。)时钟输入也能被选择为活跃的高电位或者为活跃的低电位。其中一个逻辑门在组合逻辑系列正在丢失,这是它稍稍与组合逻辑模块的不同。这个逻辑门的排除允许了与组合逻辑部分相分享的信号重置,以达到在没有增加所要求的模块输入的数量的情况下使存储部分可用。如果存储部分被绕过了,重置的信号就能用来执行所要求的组合模块输入动作。总之,序列单元和组合单元是交叉存取的,这样就导致了一个逻辑模块的对半组合。这就决定了在各种各样设计和结果间的最佳设计,得到了极好的利用。

图6:序列逻辑模块

宽解码逻辑模块

3200DX系列的每一个产品都有大量的优化执行宽带输入相连逻辑功能的特殊逻辑单元,以操作输出缓冲。宽解码逻辑模块由一个带有可选择输入功能七输入端的与门组成。这个模块的输出绕过正常的路由网络和直接联系一个特定的输出缓冲器。这个特色最低的减少了从木块输出到设备缓冲的延迟和这特色能完美地执行与典型可编程逻辑电路设备执行的宽解码功能一样的功能。宽解码逻辑模块输出也适用于核心逻辑模块,因此它也钠泵用来其他逻辑功能到设备的连接。如要了解宽解码逻辑模块的更多细节,请看《Series FPGAs》这本书。在这参考资料手册里能查询数据表和3200DX宽解码逻辑模块应用笔记。

嵌双端口静态存储器

3200DX系列的一些产品包含高速双端口静态存储器的专一模块。这个静态存储器模块被安排在256点模块上,能配置成32X8或64X4.静态存储器模块能发展形成更深更宽的记忆模块。这种静态存储器是能分开执行读写地址的两端静态存储器,一个是独立数据输入端(用于写地址),另一个是独立数据输出端(用于的读地址)。读和写能被独立的时钟阅读和写端控制,以减轻使用静态存储器的定时要求。这个双端结构能极好地执行先入先出发,拥挤缓冲和为了状况控制或不变数据的内部寄存器存储。3200DX设备有从8位静态存储器模块(应用于A3200DX上)到16位静态存储器模块(应用于A32400DX上)。如要了解更多关于双端静态存储器结构和功能的细节,请看《Series FPGAs》这本书。在这参考资料手册里能查询数据表和3200DX双端随机存取存储器的应用笔记。

增强型序列逻辑模块

用于ACT3系列的增强型序列逻辑模块是在序列逻辑模块有一个细微的改良,如图7中的简图所示。序列部分的寄存器上的重置输入没有组合逻辑功能,因此全部的组合逻辑是由寄存器前面的组合逻辑模块来完成。这样使得在存储部分前的全部简单模块有组合逻辑功能,通过图表或合成的输入简化了设计,并且,这也促进了宽带输入功能的高速改进。

图7:增强型序列逻辑模块简图

信道互连

全部Actel产品都使用了信道互连结构来取得内部逻辑模块与设备I/O插脚间的联系。在这个结构上,水平轨道跨越了各种各样预定义分割长度的阵列的长度。这使得大量大量的路由资源工作起来,也确保了信号总是有它们需要的有效轨道长度。总之,当需要轨道时,轨道能通过相互间的融合,一起重新创造更长的轨道。逻辑模块输出能跨越四个信道(两个在上,两个在下),也能与其他任何一个信道联系起来。这意味着大多数的信号只需要两次融合就能让任何一个逻辑模块输入与任何一个逻辑模块输出连接起来。在Actel设备里有了足够的可用路由资源导致了位置和路径都是自动完场的,无支配的路由是被要求的。如要了解Actel设备里的互连有效资源的更多知识,参考设备系列的产品说明。

定时资源

Actel设备都有很灵活的定时。每个序列部分的定时输入能与信道内的定时互连连接起来,也能优化定时资源。定时互连提供了最大程度上的灵活性,,也允许可能的独立定时。每个Actel设备也提供了在芯片上的专门定时资源,以改进定时性能和简化序列信号的设计。在大多数情况下,定时资源也能用作像重置、输出或选择信号一样的高—驱动器全球信号。每个FPGA系列在执行定时功能的方式上都有稍微不同。要了解关于每种定时资源的更多知识,参考相关的设备产品说明和应用知识。

定时程序

全部Actel FPGA系列都有一两个能提供高驱动器、低偏信号和用于驱动需要这些特征的信号的特殊缓冲器。这些定时程序用于每个路由的信道,也用于每个逻辑模块。这允许定时程序信号能作用于序列和组合逻辑模块,提供了带有比专门的定时稍微更低性能的最大程度的灵活性。

专用阵列定时

ACT3系列都有一个额外的定时资源,它有一个在核心阵列上被优化来驱动序列模块的高速专用定时缓冲器。在外部插脚或者内部型号都能驱动这个定时缓冲器。这个专用阵列定时被优化来驱动序列木块,也能从组合模块上驱动建立存储部分。

专用I/O定时

ACT3系列都有另一个定时资源,它有一个在I/O模块里被优化来驱动序列模块的高速专用定时缓冲器。这个专用I/O定时被优化来驱动I/O模块,也能在阵列中驱动存储部分。如果通过一个共同的定时来驱动全部存储部分,那么阵列定时与I/O定时能在外部连接起来。

四分之一圆定时

3200DX系列都有一个额外的定时资源,它有一个四个被称为扇形定时的特殊高驱动缓冲器。每个缓冲器都提供跨越大概设备四分之一的一个高驱动信号(一个四分之一园)。这些缓冲器能用于快速局部定时(也很有可能用于预分频换挡器或计数器),宽楦混乱选择,或者使I/O可用。特别注意:虽然这些事四分之一圆导向的,然而仅仅一个简单的四分之一园定时都能作用于每个四分之一园。四分之一圆定时能内部部连接起来跨越设备的一半长。总之,四分之一圆定时能从内部信号获得,同外部插脚一样。因此它们能被用作内部驱动高扇出网。参考3200DX四分之一圆定时应用知识。

I/O模块描述

每中Actel FPGA系列都有一个稍微不同的I/O模块。在ACT1系列里的简单I/O模块优化了低耗费,还有综合系列里面的门闩I/O模块提供了一个在速度与价格间的平衡。ACT3里的注册I/O模块在同步应用上为了高速度被优化。要了解关于每种I/O模块的更多知识,参考相关的设备产品说明书和应用知识。

简单I/O模块

用于ACT1系列的简单I/O模块(图8所示)是Actel最初发展得I/O模块,也是与逻辑阵列相连的一个简单I/O缓冲器。全部输入,输出和三门控制信号都能应用于阵列。输出是相兼容的晶体管-晶体管逻辑(电路)和互补金属氧化物半导体,并且在电流晶体管-晶体管逻辑(电路)级别上电流时10mA。

图8:简单I/O模块

门闩I/O模块

门闩I/O模块(如图9的简图所示)用于综合系列和它比简单I/O模块稍微复杂。门闩I/O模块包含输入和输出门闩。这些门闩也能治愈应用或者与内部门闩联系起来创建输入或

输出寄存器。输出是相兼容的晶体管-晶体管逻辑(电路)和互补金属氧化物半导体,并且在电流晶体管-晶体管逻辑(电路)级别上电流时10mA。

图9:门闩I/O模块

注册I/O模块

用于ACT3系列设备的注册I/O模块,优化了速度与同步系统设计的功能。它完成在输入和输出线路上的全部注册(正如图10的简图显示的那样)。数据能被储存在输出注册表上(在轨道数据编辑程序的控制下,输出数据和信号都能有效),或如果OTB控制点被低约束,它能绕过注册。通过IOCLK全球信号。输出和输入注册表都能被清除或事先调整。注意:输出注册表的输出能被选择就像输入对于阵列来说一样(Y信号上)。这也允许了正确建立一个状态机进入为了满足快速定时到输出要求的I/O模块。参考ACT3系列I/O模块相关应用知识。

图10:注册I/O模块

总结

这篇简介是为了让读者快速入门了解Actel FPGA系列产品的结构。读者现在可以通过各种各样的应用和产品说明书来继续探索Actel产品和应用。

·如果你有兴趣了解某一个设备的更多知识,请查阅相关的产品说明书。

·如果你有兴趣看Actel设备的应用说明来解决你的某个设计疑问或相似的疑问,请查阅数据表应用系列目录上的列表。

·如果你想看Actel设备在实际工作中执行功能的性能和容量的评估,请通过应用系列上的ctel FPGAs的性能和容量评估查阅信息。

基于FPGA的QPSK调制解调电路设计与实现

基于FPGA的QPSK调制解调电路设计与实现数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制).多进制数字调制与二进制相比,其频谱利用率更高.其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。 1 QPSK简介 QPSK信号有00、01、10、11四种状态。所以,对输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。QPSK信号实际上是两路正交双边带信号, 可由图1所示方法产生。 QPSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信号的相平解调法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成QPSK信号的解调,解调过程如图2所示。

图1 QPSK信号调制原理图 图2 QPSK信号解调原理图 2 QPSK调制电路的FPGA实现及仿真 2.1基于FPGA的QPSK调制电路方框图 基带信号通过串/并转换器得到2位并行信号,,四选一开关根据该数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3所示。 图3 QPSK调制电路框图 系统顶层框图如下

图中输入信号clk为调制模块时钟,start为调制模块的使能信号,x为基带信号,y是qpsk调制信号的输出端,carrier【3..0】为4种不同相位的载波,其相位非别为0、90、180、270度,锁相环模块用来进行相位调节,用来模拟通信系统中发送时钟与接收时钟的不同步start1为解调模块的使能信号。y2为解调信号的输出端。 2.2调制电路VHDL程序 程序说明

qpsk调制解调——基于fpga

一实验概述 本实验包括:分频器设计、计数器设计、串行移位输出器设计、伪码发生器设计、QPSK I/Q调制器设计、QPSK I/Q解调器设计,基于选项法中频调制器设计并将其综合起来组成一个系统。 二实验仪器 计算机ALTER公司的Quartus8.0 EDA试验箱。 三EDA及实验工具简介 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。 quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Altera quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字

系统设计者的欢迎。 四 实验步骤及实验模块参数 (一)设计一个分频器,要求29 分频。 (二)设计计数器,计数值16。 (三)设计串行移位输出器,移位级数14。 (四)设计伪码发生器,伪码产生的数据数率要8Kb/s ,特征方程13 59+++x x x 。 (五)设计QPSK I/Q 调制器,调制载波288KHZ ,基带速率576KHZ ,系统时 钟4068KHZ 。 (六)设计QPSK I/Q 解调器,调制载波576KHZ ,基带速率288KHZ ,系统时钟4068KHZ 。 (七)设计选项法中频调制,调制载波是基带载波的16倍。 (八)设计中频调制对应的解调器,解调出I/Q 两路信号,并合成原始信号。 (九)系统综合,用模块构建整个系统,实现调制解调功能。 实验项目设计要求: 利用自己前列试验项目设计结果,构建如下框图所示的调制、解调系统。完成对下述系统的构建、调试、仿真,使之达到运行正确。 D

qpsk调制解调——基于fpga

一 实验概述 本实验包括:分频器设计、计数器设计、串行移位输出器设计、伪码发生器设计、QPSK I/Q 调制器设计、QPSK I/Q 解调器设计,基于选项法中频调制器设计并将其综合起来组成一个系统。 二 实验仪器 计算机ALTER 公司的Quartus8.0 EDA 试验箱。 三 EDA 及实验工具简介 EDA 技术就是以计算机为工具,设计者在EDA 软件平台上,用硬件描述语言VHDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。从应用领域来看,EDA 技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA 应用。 quartus II 是Altera 公司的综合性PLD 开发软件,支持原理图、VHDL 、VerilogHDL 以及AHDL (Altera Hardware Description Language )等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD 设计流程。quartus II 可以在XP 、Linux 以及Unix 上使用,除了可以使用Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Altera quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。 四 实验步骤及实验模块参数 (一)设计一个分频器,要求29 分频。 (二)设计计数器,计数值16。 (三)设计串行移位输出器,移位级数14。 (四)设计伪码发生器,伪码产生的数据数率要8Kb/s ,特征方程13 59+++x x x 。 (五)设计QPSK I/Q 调制器,调制载波288KHZ ,基带速率576KHZ ,系统时 钟4068KHZ 。 (六)设计QPSK I/Q 解调器,调制载波576KHZ ,基带速率288KHZ ,系统时钟4068KHZ 。 (七)设计选项法中频调制,调制载波是基带载波的16倍。 (八)设计中频调制对应的解调器,解调出I/Q 两路信号,并合成原始信号。 (九)系统综合,用模块构建整个系统,实现调制解调功能。

基于FPGA的QPSK调制解调电路设计与实现

基于FPGA的QPSK调制解调电路设计与实现 数字调制信号又称为键控信号,调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制,最基本的方法有3种:正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制).多进制数字调制与二进制相比,其频谱利用率更高.其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。 1 QPSK简介 QPSK信号有00、01、10、11四种状态。所以,对输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。QPSK信号实际上是两路正交双边带信号, 可由图1所示方法产生。 QPSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信号的相平解调法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成QPSK信号的解调,解调过程如图2所示。

图1 QPSK 信号调制原理图 图2 QPSK 信号解调原理图 2 QPSK 调制电路的FPGA 实现及仿真 2.1基于FPGA 的QPSK 调制电路方框图 基带信号通过串/并转换器得到2位并行信号,,四选一开关根据该数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3所示。 基带信号clk start 串/并转换四选一开关 分 频 0°90°180°270° 调制信号 FPGA 图3 QPSK 调制电路框图 系统顶层框图如下

图中输入信号clk为调制模块时钟,start为调制模块的使能信号,x为基带信号,y是qpsk调制信号的输出端,carrier【3..0】为4种不同相位的载波,其相位非别为0、90、180、270度,锁相环模块用来进行相位调节,用来模拟通信系统中发送时钟与接收时钟的不同步start1为解调模块的使能信号。y2为解调信号的输出端。 2.2调制电路VHDL程序 程序说明 信号yy 载波相位载波波形载波符号 “00”0°f3 “01”90°f2 “10”180°f1 “11”270°f0

论文 基于FPGA的QPSK解调器的设计与实现

基于FPGA 的QPSK 解调器的设计与实现 Design and Realization of QPSK Demodulation Based on FPGA Technique 赵海潮(Zhao ,Haichao ) 周荣花(Zhou ,Ronghua ) 沈业兵(Shen ,Yebing ) 北京理工大学 (北京 100081) 摘要:根据软件无线电的思想,用可编程器件FPGA 实现了QPSK 解调,采用带通采样技术对中频为70MHz 的调制信号采样,通过对采样后的频谱进行分析,用相干解调方案实现了全数字解调。整个设计基于XILINX 公司的ISE 开发平台,并用Virtex-II 系列FPGA 实现。用FPGA 实现调制解调器具有体积小、功耗低、集成度高、可软件升级、扰干扰能力强的特点,符合未来通信技术发展的方向。 关键词:QPSK ;FPGA ;软件无线电;带通采样 中图分类号:TN91 文献标识码:A Abstract : This paper describes the design of QPSK demodulator based on the Xilinx's FPGA device. It is in accord with software radio, bandpass sampling and coherent demodulation techniques are used in the demodulation, and also make analysis with the spectrum. key words : QPSK ;FPGA ;software radio ;bandpass sampling 1、引言 四相相移键控信号简称“QPSK ”。它分为绝对相移和相对相移两种。由于绝对移相方式存在相位模糊问题,所以在实际中主要采用相对移相方式QDPSK 。它具有一系列独特的优点,目前已经广泛应用于无线通信中,成为现代通信中一种十分重要的调制解调方式。FPGA 器件是八十年代中期出现的一种新概念,是倍受现代数字系统设计工程师欢迎的新一代系统设计方式。FPGA 器件可反复编程,重复使用,没有前期投资风险,且可以在开发系统中直接进行系统仿真,也没有工艺实现的损耗。因此在小批量的产品开发、研究场合,成本很低。 本文按照软件无线电的设计思想,先进行计算机模拟仿真,具体实现中充分利用FPGA 的特点,并通过带通采样技术,成功的实现了对70MHz 中频QPSK 信号的解调。 2、解调器的设计与实现 在全数字实现QDPSK 解调的过程中,与AD 接口的前端需要很高的处理速度,但是这些处理的算法又比较简单,FPGA 器件独特的并行实时处理的特点刚好可以在这里得到体现,因此,ADC 以后的数字信号处理全部由FPGA 来实现。考虑到QDPSK 相干检测比差分检测有 2.3dB 功率增益,选择用相干解调算法实现解调。解调方框图如下: 图1解调框图 本文采用的解调方案是将AD 量化得到的数字信号)(n x 与NCO 产生的一对相互正交的本

基于fpga的qpsk调制解调的仿真及相关软件设计毕业设计

1 引言 1.1 研究背景 自1897年意大利科学家G.Marconi首次使用无线电波进行信息传输并获得成功后,在一个多世纪的时间中,在飞速发展的计算机和半导体技术的推动下,无线通信的理论和技术不断取得进步,今天,无线移动通信已经发展到大规模商用并逐渐成为人们日常生活不可缺少的重要通信方式之一。 随着数字技术的飞速发展与应用数字信号处理在通信系统中的应用越来越重要。数字信号传输系统分为基带传输系统和频带传输系统。频带传输系统也叫数字调制系统,该系统对基带信号进行调制,使其频谱搬移到适合信道传输的频带上数字调制信号有称为键控信号。在调制的过程中可用键控[1]的方法由基带信号对载频信号的振幅,频率及相位进行调制最基本的方法有三种:正交幅度调制(QAM)、频移键控(FSK)和相移键控(PSK)。 作为数字通信技术中重要组成部分的调制解调技术一直是通信领域的热点课题。随着当代通信的飞速发展,通信体制的变化也日新月异,新的数字调制方式不断涌现并且得到实际应用[2]。目前的模拟调制方式有很多种,主要有AM、FM、SSB、DSB、CW等,而数字调制方式的种类更加繁多,如ASK、FSK、MSK、GMSK、PSK、DPSK、QPSK、QAM等。如果产生每一种信号需要一个硬件电路甚至一个模块,那么能产生几种、十几种通信信号的通信机的电路将相当复杂,体积重量将会很大,而且要增加新的调制方式也是十分困难的。在众多调制方式中,四相相移键控(Quadrature Phase Shift Keying,QPSK)信号由于抗干扰能力强而得到了广泛的应用[3], [4],具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成熟,广泛应用于数字微波、卫星数字通信系统、有线电视的上行传输、宽带接入与移动通信等领域中[5],并已成为新一代无线接入网物理层和B3G通信中使用的基本调制方式[6]。现场可编程门阵列(Field Programmable Gate Array,FPGA)是20世纪9年代发展起来的大规模可编程逻辑器件,随着电子设计自动化(ElectronDesign Automation EDA)技术和微电子技术的进步,FPGA的时钟延迟可达到ns级,结合其并行工作方式,在超高速、实时测控方面都有着非常广阔的应用前景[7]。FPGA具有高集成度、高可靠性等特点,在电子产品设计中也将得到广泛的应用。FPGA器

QPSK的FPGA实现

QPSK的FPGA实现 摘要 数字调制解调技术在数字通信中占有非常重要的地位, 数字通信技术与FPGA 的结合是现代通信系统发展的一个必然趋势。QPSK数字调制技术,具有频谱利用率高、频谱特性好、抗干扰性能强、传输速率快等突出特点,在移动通信、卫星通信中具有广泛应用价值,但是基于FPGA的全数字QPSK 调制解调仍在进一步研究发展中。 本文首先叙述了QPSK调制解调技术的工作原理和数字式调制与解调的特点。其次对QPSK的调制和解调设计展开讨论。设计包括QPSK的调制、解调两部分,基于对整个设计的要求进行分析及对QPSK实现FPGA进行功能的分解,以此划分成比较小的模块,自下而上设计系统;根据QPSK的原理分别画出QPSK调制、解调的实现框图。设计中设定每个比特对应特定的载波,并以载波作为比较,实现最后的对应的输出结果。最后基于VHDL 语言分别完成QPSK的调制与解调,完成系统的设计方案,在MAX+PLUSII 环境下对模块逻辑、时序进行仿真调试的仿真结果表明了该设计的正确性,并综合得出RTL的结构图。 关键词:QPSK,FPGA,调制,解调

FPGA IMPLEMENTATION OF QPSK ABSTRACT Technology of digital modulation and demodulation plays an important role in digital communication system and the combination of digital communication technology and FPGA is certainly a trend.QPSK digital modulation technique has features of high-spectrum utilization ratio,better spectrum specification, stronger anti-interference performance and faster baud rate and has been applied widely in mobile communication system and satellite communication system.But all-digital QPSK modulation and demodulation based on FPGA is still towards further research and development. At first, this paper describes the principle of QPSK modulation and demodulation technology as well as the characteristics of digital modulation and demodulation. In the following words we mainly provide the discussion combined with the research and design of the QPSK modulation and demodulation .This design has two parts, which are QPSK modulation and demodulation .The analysis on the whole design requirement and the decomposition of QPSK function in FPGA lay the basis for the smaller divided modules. Then we can start up the bottom-up design .Respectively, we draw QPSK modulation and demodulation diagram on the basis of the principle of QPSK. The design supposes each bit corresponds to a specific carrier .To achieve the final result of the corresponding output, we should take carrier as a comparison. In the end, we use VHDL to achieve the QPSK modulation and demodulation. After completing the whole system design, it goes on with simulation on module logic, timing in the MAX+PLUSII environment. The simulation results indicate that the design is correct and comprehensively deduce the RTL's chart.

相关文档