文档视界 最新最全的文档下载
当前位置:文档视界 › 模拟电子技术实验课程教学大纲

模拟电子技术实验课程教学大纲

模拟电子技术实验课程教学大纲
模拟电子技术实验课程教学大纲

模拟电子技术实验教学大纲

一、实验课中文名称:模拟电子技术实验

二、实验课英文名称:Analog Electronic Technology Experiment

三、开课单位:电子信息学院

四、实验课程编码:30705004

五、实验课性质:单独设置的实验课

六、学时学分数:48学时/2学分

七、开课学期:3

八、适用专业(方向):电子信息工程、自动化、通信工程

九、课程简介:

模拟电子技术实验课程是对非电类专业开设的独立实验课程,它相对于理论教学具有直观性、实践性、综合性,在培养学生的应用能力和创新能力方面具有极其重要的地位和作用。模拟电子技术实验是一门重要的必修课程。

十、实验教学目的与基本要求:

教学目的:通过实验课程的学习,使学生真正能将学到的理论知识运用于实践,并在实践中巩固所学的知识,让学生接触到与实际结合更加紧密的电子电路系统并完成模拟电路的安装、调试,熟练掌握电路参数的测试原理及测量方法。

任务要求:本实验课程是采用集中授课和单独指导相结合的方式,教师首先讲解实验原理,帮助学生更深刻地理解所学理论知识,讲解实验内容时需强调实验的要点、难点,训练学生的实验操作能力,指导学生分析、判断和解决实验中出现的问题。学生每两人一组进行独立实验,在教师的同意指导下,学生应完成相应的内容。每组学生应相互配合,一人操作,一人记录,对实验环境,实验中遇到的问题及故障分析、排除等,要求有完整的记录,在此过程中两人必须交换操作,完成实验后,每人需将预习报告及实验记录交指导教师检查、签字。

十一、主要仪器设备

序号设备名称台套数备注

1 模拟电子技术实验平台48

2 函数信号发生器、示波器48

3 万用表48

4 阻容元器件若干

十二、实验课程内容和学时分配

序号实验项目

名称

实验内容

学时

分配

实验

属性

实验

类型

每组

人数

实验

要求

1

模拟电子技

术实验单级放大电路 4

验证

1-2

使学生

实现理

论联系

实际,

培养学

生基本

的模拟

电子技

术实验

技能和

电路的

综合应

用和设

计能力

2 射级跟随器4

3 场效应管放大器4

4 差动放大电路4

5 比例求和运算电

4

6 互补对称功率放

大器

4

7 RC正弦波振荡器4

8

RLC并联谐

振电路研究

4

9 负反馈放大电路4

10 整流滤波与串联

稳压电路

4

11 有源滤波器4

12

积分微分电

4

说明:

(1)学时分配:合计数要与实验总学时相同或大于实验总学时数(其中超

出的学时数可为选开实验)。

(2)实验属性:指所开实验为公共基础类、专业基础类或专业类。

(3)实验类型:指演示性、验证性、综合性或设计性。

(4)每组人数:指按规定开设本项实验每组可参加的学生人数。

(5)实验要求:指必做或选做。

十三、考核方法:

本课程的成绩评定方法:

实验报告占总评成绩的80%,实验操作、出勤情况占总评成绩的20%。

十四、选用教材参考书目:

教材:

《电子信息技术基础实验》, 龙建忠,四川大学出版社,2008.9

参考书目:

《模拟电子技术基础》, 童诗白, 高等教育出版社,2011

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

《模拟电子技术基础》实验报告撰写模版

实验报告 实验名称单级共射放大电路 课程名称___电子技术实验(模拟) 院系部: 专业班级: 学生姓名:学号: 同组人:实验台号: 指导教师:成绩: 实验日期: 华北电力大学

实验报告的撰写要求 实验报告要能真实的反映实验过程和结果,是对实验进行总结、提高的重要环节,应当认真撰写。实验报告的要求是有理论分析,要实事求是,字迹要清楚,文理要通顺。 实验报告的内容包括: 1、实验目的及要求。 2、实验仪器:列出完成本次实验的实验条件。 3、实验原理:实验项目的已知条件、技术指标、实验电路。 4、实验步骤:根据实验内容的要求对电路进行测量与调整方法、出现的故 障以及排除故障的方法。 5、讨论与结论:总结实验心得体会和收获,解答思考题,对实验中存在的 问题等进行分析和讨论,对实验的进一步想法或改进意见。 6、原始数据记录:原始数据是指在实验过程中按照实验要求进行测量的、未经任何处理的数据和波形,是进行数据处理的依据。要求将实验教材中的“实验原始数据记录”撕下,粘贴在实验报告“实验原始数据粘贴处”,复印无效。

实验报告要求: 一、实验目的及要求 二、仪器用具 三、实验原理 四、实验步骤(包括实验结果与数据处理) 五、讨论与结论(对实验现象、实验故障及处理方法、实验中存在的问题等进行分析和讨论,对实验的进一步想法或改进意见。) 六、实验原始数据

一、实验目的及要求: 1. 学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2. 掌握放大器电压放大倍数和最大不失真输出电压的测试方法。 3. 悉常用电子仪器及模拟电路实验设备的使用。 二、仪器用具:略 三、实验原理 图1.2.1为电阻分压式工作点稳定单管放大器实验电路图。 图1.2.1 共射极单管放大器实验电路 在图1.2.1电路中,当流过偏置电阻1B R 和2B R 的电流远大于晶体管VT 的基极电流B I 时(一般5~10倍),则它的静态工作点可用下式估算: CC B2B1B1B U R R R U +≈ U CE =U CC -I C (R C +R F1 + R E ) 电压放大倍数: 1)1( // F R β++-=be L C V r R R β A 其中r be =200+26 (1+β)/I E 输入电阻:R i =R B1 // R B2 // [r be +(1+β)R F1] 输出电阻:R O ≈R C 四、实验步骤: 1. 调试静态工作点 接通+12V 电源、调节R W ,使U E =2.0V ,测量U B 、U E 、U C 、R B2值。记入表1.2.1。 E U BE = U B - U E =0.665V ,U CE = U C - U E =5.8V,I C ≈I E = U E /R E =2/(1.1)=1.82mA 实验数据显示,Q 点的值满足放大电路的静态工作点要求,BJT 处于放大区。 C E BE B E I R U U I ≈+-≈1 F R

参考答案模拟电子技术实验指导书

实验一常用电子仪器的使用 一、实验目的 1?熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2?学习使用低频信号发生器和频率计。 3?初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图 1 —1所示。接线时应注意,为防止外 界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 交流奄伏表直流稳压电源 图1—1模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。 通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值u m、周期T (或频率f) 和初相;脉冲信号的波形参数是幅值4、周期T和脉宽T P。幅值U、峰峰值U P-P和有效值都可表示正弦量 U m、 1 的大小,但用示波器测U P-P较方便(用万用表交流电压档测得的是正弦量的有效值U斗)。由于频率f=丄, V2 T 所以测出周期T,即可算得频率。矩形脉冲电压,可用周期T,脉宽T P和幅值Un三个参数来描述。T P与T 之比称为占空比。 三、实验内容和步骤 1 .检查示波器

模拟电子技术实验

实验2 单管放大电路 1.1 实验目的 (1) 熟悉电子元件和模拟电路实验箱。 (2) 掌握放大器静态工作点的调试方法及其对放大器性能的影响。 (3) 学习测量放大器Q点,A v,r i,r o的方法,了解共射极电路的特性。 (4) 学习放大器的动态性能。 1.2 实验仪器与设备 示波器,信号发生器,交流毫伏表,数字万用表,模拟/数字电路实验箱。 1.3 预习要求 (1) 熟悉分压式偏置放大器的工作原理,了解元器件参数对放大器性能的影响。 (2) 熟悉放大器的动态及静态测量方法。 1.4 实验内容与步骤 (一)、连接直流电路,测量静态工作点 1.连接直流电路 (1)用万用表判断实验元件(三极管、电解电容、电阻、电位器)及实验所用导线的好坏。 (2) 连接分压式偏置放大器的直流通路,电路如图1-1所示,将R W的阻值调到最大100K。 图1-1 分压式偏置单管放大器的直流通路

(3)调节直流稳压电源电压输出调节旋钮,使其输出+12V(方法:用万用表直流电压档监测直流稳压电源输出端口,调节旋钮使万用表显示+12 V) 2.调节静态工作点 接通稳压电源(方法:用红色导线连接直流稳压电源的正极与R W R C的公共点,用黑色导线连接直流稳压电源的负极与R B2 R E的公共点),调节R W使U CE=1/2 U CC,V BE=0.7V 测量晶体管各极对地电压U B、U C和U E,将测量结果和计算所得结果填入表1-1中。 U CE =U C-U E U BE =U B-U E I C = I E= U E /R E 表1-1 静态工作点实验数据 (二)、连接完整电路,测量动态参数 1.连接完整电路 图1-2 分压式偏置单管放大器原理图 注意:电解电容的极性。 3.电压放大倍数的测量 (1)接通函数信号发生器电源,调节函数信号发生器的频率调节旋钮和幅度调节旋钮,使函数信号发生器输出频率 f =1 kHz ,输出电压U S=10 mV (有效值)的交流信号(若输出不能达到10 mV,可调节输出衰减旋钮20~60 dB和幅度调节旋钮即可)。 注意:信号发生器输出交流信号的频率通过数码管显示即可读出来,输出交流信号的幅度必须使用晶体管毫伏表检测方可读出电压有效值。 (2)将信号发生器、示波器、晶体管毫伏表按图1-3接入。信号发生器的正极、示波

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

广西大学模拟电子技术实验答案汇总

实验一、 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1.为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程 开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2.读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的 示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1.时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋 钮,将时基线移至适当的位置。

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

2011.12.30(修改)电路与模拟电子技术实验指导书

电路与模拟电子技术 实验指导书 王凤歌 (修改于2011.12.30) 1

实验一直流网络定理 一、实验目的 1、加深对基尔霍夫和迭加原理的内容和适用范围的理解。 2、用实验方法验证戴维南定理的正确性。 3、学习线性含源一端口网络等效电路参数的测量方法。 4、验证功率输出最大条件。 二、实验属性(验证性) 三、实验仪器设备及器材 1、电工实验装置(DG011T、DY031T、DG053T) 2、电阻箱 四、实验要求 1. 所有需要测量的电压值,均以电压表测量的读数为准,不以电源表盘指示值为准。 2. 防止电源两端碰线短路。 3. 若用指针式电流表进行测量时,要识别电流插头所接电流表时的“ +、-”极性。倘若不换接极性,则电表指针可能反偏(电流为负值时),此时必须调换电流表极性,重新测量,此时指针可正偏,但读得的电流值必须冠以负号。 4.用电流插头测量各支路电流时,应注意仪表的极性,及数据表格中“ +、-”号的记录。 五、实验原理 1、基尔霍夫定律是集总电路的基本定律。它包括电流定律和电压定律。 基尔霍夫电流定律:在集总电路中,任何时刻,对任一节点,所有支路电流的代数和恒等于零。即 ∑I = 0 基尔霍夫电压定律:在集总电路中,任何时刻,沿任一回路内所有支路或元件电压的代数和恒等于零。即 ∑U = 0 2、迭加原理是线性电路的一个重要定理。 独立电源称为激励,由它引起的支路电压、电流称为响应,则迭加原理可简述为:在任意线性网络中,多个激励同时作用时,总的响应等于每个激励单独作用时引起的响应之和。 3、戴维南定理指出,任何一个线性含源一端口网络,对外部电路而言,总可以用一个理想电压源和电阻相串联的有源支路来代替,如图1-1所示,其理想电压源的电压等于原网络端口的开路电压U OC,其电阻等于原网络中所有独立电源为零值时的入端等效电阻R0。 图1-1 2

大工15秋《模拟电子线路实验》实验报告参考答案

大工15秋《模拟电子线路实验》实验报告参考答案 实验一常用电子仪器的使用 一、实验目的 1、了解并掌握模拟电子技术实验箱的主要功能及使用方法。 3、学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2只8脚集成电路插座和1只14脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号;②输出频率:10Hz~1MHz连续可调;

③幅值调节范围:0~10VP-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 3.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。2、了解并掌握数字万用表的主要功能及使用方法。 三、预习题 1.正弦交流信号的峰-峰值×峰值,峰值×有效值。 2.交流信号的周期和频率是什么关系?答:互为倒数,f=1/T,T=1/f

模拟电子技术实验指导

实验二常用电子仪器的使用 一、实验目的 (1)了解双踪示波器、低频信号发生器及晶体管毫伏表的原理框图和主要技术指标; (2)掌握用双踪示波器测量信号的幅度、频率; (3)掌握低频信号发生器、晶体管毫伏表的正确使用方法。 二、实验器材 双踪示波器DF4321型(或HH4310A型)低频信号发生器DF1641B型(或SG1631C型)晶体管毫伏表DF2175型 三、实验原理与参考电路 在电子技术实验里,测试和定量分析电路的静态和动态的工作状况时,最常用的电子仪器有示 示波器:用来观察电路中各点的波形,以监视电路是否正常工作,同时还用于测量波形的周期、幅度、相位差及观察电路的特性曲线等。 低频信号发生器:为电路提供各种频率和幅度的输入信号。 直流稳压电源:为电路提供电源。 晶体管毫伏表:用于测量电路的输入、输出信号的有效值。 万用表:用于测量电路的静态工作点和直流信号的值。 四、实验内容及步骤 1.低频信号发生器与晶体管毫伏表的使用 (1)信号发生器输出频率的调节方法 按下“频率范围”波段开关,配合面板上的“频率调节”旋钮可使信号发生器输出频率在0.3Hz~3MHz的范围改变。 (2)信号发生器输出幅度的调节方法 仪器面板右下方的Q9是信号的输出端,调节“输出衰减”开关和“输出调节”电位器,便可在输出端得到所需的电压,其输出为0-20V P-P的范围。 (3)低频信号发生器与毫伏表的使用 将信号发生器频率调至lkHz,调节“输出调节”旋钮,使仪器输出电压为5V P-P左右的正弦波,分别置分贝衰减开关于0dB、—20dB、—40dB、—60dB挡,用毫伏表分别测出相应的电压值。注意测量时不要超过毫伏表的量程,并且尽可能地把档位调到与被测量值相接近,以减小测量误差。 2.示波器的使用 (1)使用前的检查与校准 先将示波器面板上各键置于如下位置:“工作方式”位于“交替”(如果只观察一个波形可置于CHl通道或CH2通道);“极性”选择位于“+”;“触发方式”位于“内触发”;“DC,GND,AC"开关位于“AC”;“高频,常态,自动”开关位于“自动”位置;“灵敏度V/div"开关于“0.2V/div"档,“扫速t/div"开关于“0.2ms/div"档,亮度、辉度、位移、电平开关置中间位置,开启电源后,

模拟电子技术实验

实验一共射极单管放大电路的研究 1. 实验目的 (1)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响; (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法; (3)熟悉常用电子仪器及模拟电路实验设备的使用。 2. 实验设备与器材 实验所用设备与器材见表1.1。 表1.1 实验4.1的设备与器材 序号名称型号与规格数量备注 1 实验台1台 2 双踪示波器0~20M 1台 3 电子毫伏表1只 4 万用表1只 5 三极管1只 6 电阻1kΩ/0.25W 1只R e 7 电阻 2.4kΩ/0.25W 2只R S、R c、R L 8 电阻20kΩ/0.25W 1只R b1、R b2 9 电阻500kΩ/0.25W 1只R b2 10 铝电解电容10μF/25V 2只C1、C2 11 铝电解电容50μF/25V 1只C e 3. 实验电路与说明 实验电路如图1.1所示,为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。安装电路时,要注意电解电容极性、直流电源正负极和信号源的极性。 图1.1 共射极单管放大器实验电路

I c/mA U ce/V u0波形失真情况管子工作状态 2.0 (5) 测量最大不失真输出电压的幅度 置R C=2.4kΩ,R L=2.4kΩ,调节信号发生器输出,使U s逐渐增大,用示波器观察输出信号的波形。直到输出波形刚要出现失真而没有出现失真时,停止增大U s,这时示波器所显示的正弦波电压幅度,就是放大电路的最大不失真输出电压幅度,将该值记录下来。然后继续增大U s,观察输出信号波形的失真情况。 5. 实验总结与分析 (1)用理论分析方法计算出电路的静态工作点,填入表1.2中,再与测量值进行比较,并分析误差的原因。 (2)通过电路的动态分析,计算出电路的电压放大倍数,包括不接负载时的A u、A us以及接上负载时的A u、A us。将计算结果填入表1.3中,再与测量值进行比较,并分析产生误差的原因。 (3)回答以下问题: ①放大电路所接负载电阻发生变化时,对电路的电压放大倍数有何影响? ②怎样用测量信号电压的方法来测量放大电路的输入电阻和输出电阻? (4)心得体会与其他。

模拟电子技术标准实验报告

实验一常用电子仪器的使用 一、实验目的: 1、熟悉交流毫伏表、低频信号发生器,双踪示波器主要技术性能和面板开关、旋钮的名称和作用。 2、学会上述仪器的正确使用。 3、初步掌握用示波器观察,测量正弦信号的波形参数及计算方法。 二、实验原理: 在电子电路测试和实验中,常用的电子仪器有交流毫伏表,低频信号发生器,双踪示波器,直流稳压电源以及其它仪器,它们与被测(实验)电路的关系,如图2-1.1所示。 图2-1.1 常用电子仪器接线框图 在电子测量中,应特别注意各仪器的“共地”问题,即各台仪器与被测电路的“地”应可靠地连接在一起。合理的接地是抑制干扰的重要措施之一,否则,可能引入外来干扰,导致参数不稳定,测量误差增大。 模电实验室的常用仪器: YJ—44型直流稳压电源;SX2172型交流毫伏表; XD1B型低频信号发生器;SS-5702型双踪示波器; *BS1A型失真度测量仪。 三、实验内容 1、用交流毫伏表测量低频信号发生器的输出(衰减)电压。将信号发生器频率调节在1KHz。电压“输出衰减”开关分别置于不同的衰减db位置上,调节信号发生器的“幅度”使电表指示在4V,用交流毫伏表测量其输出电压值。 1

2、用双踪示波器Y轴任一输入通道探头,测量示波器“校正电压”读出荧屏显示波形的U P-P 值和频率?。 3、用交流毫伏表及双踪示波器测量低频信号发生器或稳压电源的输出电压及周期的数值。记入表2-1.2。 四、思考题: 1、示波器荧光屏上的波形不断移动不能稳定,试分析其原因。调节哪些旋钮才能使波形稳定不变。 答:用示波器观察信号波形,只有当示波器内部的触发信号与所测信号同步时,才能在荧光屏上观察到稳定的波形。若荧光屏上的波形不断移动不能稳定,说明触发信号与所测信号不同步,即扫描信号(X轴)频率和被测信号(Y轴)频率不成整数倍的关系(?x≠n?y),从而使每一周期的X、Y轴信号的起扫时间不能固定,因而会使荧光屏上显示的波形不断的移动。此时,应首先检查“触发源”开关(SOURCE)是否与Y轴方式同步(与信号输入通道保持一致);然后调节“触发电平”(LEVEL),直至荧光屏上的信号稳定。 2、在测量中交流毫伏表和示波器荧光屏测同一输入电压时,为什么数据不同?测量直流电压可否用交流毫伏表,为什么? 答:交流毫伏表和示波器荧光屏测同一输入电压时数据不同是因为交流毫伏表的读数为正弦信号的有效值,而示波器荧光屏所显示的是信号的峰峰值。 不能用交流毫伏表测量直流电压。因为交流毫伏表的检波方式是交流有效值检波,刻度值是以正弦信号有效值进行标度的,所以不能用交流毫伏表测量直流电压。 2

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

模拟电子技术实验 教案

模拟电子技术实验教案 ·平顶山学院教案 20XX ~~ 20XX 学年第 1 学期 承担系部电气信息工程学院课程名称模拟电子技术实验授课对象 11电气、电子、测控,10物理授课教师张晓朋职称讲师教材版本电工电子实验与计算机仿真教程参考书 20XX年 9 月 3 日 平顶山学院模拟电子技术实验教案 模拟电子技术基础实验 实验一常用电子仪器的使用练习 [实验目的] 1、了解示波器、低频信号发生器、视频毫伏表及直流稳压电源的工作原理。 2、掌握常用电子仪器的使用方法。[实验仪器] 1、函数信号发生器; 2、双踪示波器; 3、交流毫伏表; [实验原理] 多种实验仪器之间按如图1-1所示。交流毫伏表直流稳压电源+ -屏蔽线U cc函数信号发生器屏蔽线被测电路 uiu0示波器屏蔽线图1-1 1、函数信号发生器

函数信号发生器按需要输出正弦波、方波、脉冲波三种信号波形。输出电压最大可达10VP-P。函数信号发生器的输出信号频率可以通过频率分档开关进行调节。 函数信号发生器作为信号源,它的输出端不允许短路。 2、示波器的使用 (1)用示波器测量正弦波的有效值 正弦波形在示波器屏幕上的显示方式如图1-2所示。如果荧光屏上信号波形的峰-峰值为Ddiv,Y轴灵敏度为/div,则所测电压的峰-峰值为: VP-P=/div×Ddiv 式中/div是示波器无衰减时Y轴的灵敏度,即每格20mV;D为被测信号在Y轴方向上峰-峰之间的距离,单位为格(div)。 (2)用示波器测量时间 时间测量时在X轴上读数,量程X轴的扫描速度开关“t/div”决定。 1 平顶山学院模拟电子技术实验教案 测量前对示波器进行扫描速度校准,测量时间过程中使该“微调”始终处于“校准”位置上。测量信号波形任意两点间的时间间隔。 B

模拟电子实验思考题及答案 学时

设备的使用 1、示波器的使用 0-20MHz范围内的信号都可测量。 三个校准旋钮顺时针拧到底; 五个按钮全要释放; 触发源要与输入通道一致;双通道输入时(DUAL),则触发源CH1和CH2都可; “LEVEL”旋钮的使用(波形水平移动,不稳定时); “垂直衰减旋钮”要合适,尤其是数值和波形的幅值相比小太多时,波形太大,出了屏幕,会看不到波形; Y轴校准方法; DC和AC档位的区别。 2、交流毫伏表的使用 测量10-2MHz正弦信号的有效值。频带比示波器小,比万用表大。 一定要选择合适的量程,否则误差大。比如:正弦信号Ui=1V,要选3V量程档,用30V的话,误差大! 数字万用表 万用表 3、数字 测直流电压、电流信号,电阻值。 测交流信号不如交流毫伏表精度高,模拟电子技术实验室的交流信号有效值都用交流毫伏表测量! 4、模拟万用表 在本实验室只用于单管放大时测静态工作点的电流I B和I C。 5、信号发生器 正弦信号输入是有效值,切记!要注意分清题目给的条件是指正弦信号的有效值(示例Ui =1V)和最大值(示例Ui m=1V)。 6、集成运算放大器的使用 +12V、地、-12V这三个电源必须接上,运放才能工作。同时注意要打开电源开关。

输入信号不是电源,切记! 共地:“输入信号的地”、“示波器的地”一定要和“电源的地”可靠地接在一起。 开环过零检查运放的好坏。 比例运算电路要闭环调零减少误差。 实验板 7、单管放大电路 单管放大电路实验板 +12V和地要可靠连接; 共地:“输入信号的地”、“示波器的地”一定要和“电源的地”可靠地接在一起。 线要连好,不要落了接某些线。

大工15春(秋)《模拟电子线路实验》实验报告(标准答案)

网络高等教育《模拟电子线路》实验报告 学习中心: 层次:高中起点专科 专业: 年级:年春/秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

大连理工大学 《模拟电子线路实验》实验报告

网络高等教育 《模拟电子线路》实验报告 学习中心:咸阳远程网络教育学校奥鹏学习中心 层次:高中起点专科 . 专业:电力系统自动化技术 . 年级: 2015 年春季 . 学号 161586128155 . 学生姓名:惠伟 .

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

模拟电子技术实验指导书

河海大学文天学院 电子技术实验指导书 模拟电子技术 王飞 2014.2

实验一 晶体管单管放大电路 一、实验目的 1.学习放大电路静态工作点调试方法,分析静态工作点对放大电路性能的影响。 2.学习放大电路电压放大倍数及最大不失真输出电压的测量方法。 3.测量放大电路输入、输出电阻。 4.进一步熟悉各种电子仪器的使用。 二、实验原理 图1-1为电阻分压式静态工作点稳定放大电路,它的偏置电路采用R B1 = R W1 + R 3和R B2 = R W2 + R 4组成的分压电路,并在发射级中接有电阻R E = R 6,用来稳定静态工作点。当在放大电路输入端输入信号U i 后,在放大电路输出端便可得到与U i 相位相反、被放大了的输出信号U 0,实现了电压放大。R 1和R 2组成输入信号的分压电路,其目的是防止输入信号过大,损坏三极管。 图1-1 在电路中静态工作点为: CC B B B B U R R R U 2 12 += E E E BE B E R U R U U I = -= )(E C C CC CE R R I U U +-= 动态参数: 电压放大倍数k 3.3//50==-== R R R R U U A C be L C i U γβ

其中) mA () mv (26) 1(300E be I r β++= 输入电阻:若开关合上,即R 7短接 be B B i r R R r ////21= 输出电阻:5R R r C o == 放大电路输入电阻测试方法:若输入信号源U S 经R 1 = 5.1k 与C 1串联后再接到三极管 V 1的基极,测得U S 和'i U ,即可计算出1' ' R U U U r i S i i ?-= 输出电阻可用下式计算:L R U U r )1(0 '00-= 其中' 0U 为R L 未接入时(R L = ∞)U 0之值,U 0为接入R L 时U 0之值。 1.静态工作点的测试 1)静态工作点的测量 放大电路的静态工作点是指在放大电路输入端不加输入信号U i 时,在电源电压V CC 作用下,三极管的基极电流I B ,集电极电流I C 以及集成极与发射极之间的电压U CE 等。测量静态工作点时,应使放大电路输入信号U i = 0,即将信号源输出旋钮旋至零(通常需将放大电路输入端与地短接)。然后测出I C ,或测出R E 两端电压,间接计算出I C 来,I B = I C / β, U BE , U CE 用数字式直流电压表进行测量,在测试中应注意: a) 测量电压U BE 、U CE 时,为防止引入干扰,应采用先测量B 、C 、E 对地的电位后进行计算,即: U BE = U B – U E U CE = U C – U E b) 为了测量I B 、I C 和I E ,为了方便起见,一般先直接测量出U E 后,再由计算得到: E E E C R U I I == β C B I I = 总之,为了测量静态工作点只需用直流电压表测出U C 、U B 、U E 即可推算出。 2)静态工作点的调试: 放大电路的基本任务是在不失真的前提下,对输入信号进行放大,故设置放大电路静态工作点的原则是:保证输出波形不失真并使放大电路具有较高的电压放大倍数。 改变电路参数U CC 、R C 、R B 都将引起静态工作点的变化,通常以调节上偏置电阻取得一合适的静态工作点,如图1-1中调节R W1。R B1减小将引起I C 增加,使工作点偏高,放大电路容易产生饱和失真,如图1-2-a 所示,U 0负半周被削顶。当R B1增加,则I C 减小,使工作点偏低,放大电路容易产生截止失真,如图1-2-b 所示。U 0正半周被缩顶。适当调节R b1可得到合适的静态工作点。

参考答案--模拟电子技术实验指导书(2012)

参考答案--模拟电子技术实验指导书(2012)

实验一常用电子仪器的使用 一、实验目的 1.熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1—1 模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

相关文档
相关文档 最新文档