文档视界 最新最全的文档下载
当前位置:文档视界 › (南京工程)微机原理与接口技术考试试题及答案AWord版

(南京工程)微机原理与接口技术考试试题及答案AWord版

(南京工程)微机原理与接口技术考试试题及答案AWord版
(南京工程)微机原理与接口技术考试试题及答案AWord版

《微机原理与接口技术》课程期末考试试卷(A卷,考试)

(南京工程学院2010届)

一、单项选择(在备选答案中选出一个正确答案,并将其号码填在题干后的括号内。每题2分,共30分)

1 .某微机最大可寻址的内存空间为16MB,其CPU地址总线至少应有()条。

A. 32

B. 16

C. 20

D. 24

2 .用8088CPU组成的PC机数据线是()。

A. 8条单向线

B. 16条单向线

C. 8条双向线

D. 16条双向线

3 .微处理器系统采用存储器映像方式编址时存储单元与I/O端口是通过()来

区分的。

A. 不同的地址编码

B. 不同的读控制逻辑

C. 不同的写控制逻辑

D. 专用I/O指令

4 .要禁止8259A的IR0的中断请求,则其中断屏蔽操作指令字OCW1应为()。

A. 80H

B. 28H

C. E8H

D. 01H

5 .在8086环境下,对单片方式使用的8259A进行初始化时,必须放置的初始化命令字

为()。

A. ICW1,ICW2,ICW3

B. ICW1,ICW2,ICW4

C. ICW1,ICW3,ICW4

D. ICW2,ICW3,ICW4

6 .6166为2Kx8位的SRAM芯片,它的地址线条数为()。

A. 11

B. 12

C. 13

D. 14

7 .在计算机系统中,可用于传送中断请求和中断相应信号的是()。

A. 地址总线

B. 数据总线

C. 控制总线

D. 都不对

8 .段寄存器装入2300H,该段的最大结束地址是()。

A. 32FFFH

B. 23000H

C. 33FFFH

D. 33000H

9 .在进入DMA工作方式之前,DMA控制器当作CPU总线上的一个()。

A. I/O设备

B. I/O接口

C. 主处理器

D. 逻辑高

10 .在8086宏汇编过程中不产生指令码,只用来指示汇编程序如何汇编的指令是()。

A. 汇编指令

B. 宏指令

C. 机器指令

D. 伪指令

11 .中断向量表占用内存地址空间为()。

A. 00000H~003FFH

B. 00000H~000FFH

C. 00000H~00100H

D. FFF00H~FFFFFH

12 .实现CPU与8259A之间信息交换是()。A. 数据总线缓冲器

B. 级联缓冲/比较器

C. 读写控制电路

D. 数据总线缓冲器与读写控制电路

13 .Intel 8253的最大输入时钟频率是()。

A. 5MHz

B. 2MHz

C. 1MHz

D. 4MHz

14 .完成两数相加后是否溢出的运算,用()标志位判别。

A. ZF

B. IF

C. OF

D. SF

15 .8255A的方式选择控制字应写入()。

A. A口

B. B口

C. C口

D. 控制口

二、填空题(把答案填写在题中横线上,每空1分,共20分)

1 . 8086/8088CPU的数据线和地址线是以_____________方式轮流使用的。

2 . CPU中的总线接口部件BIU,根据执行部件EU的要求,完成_____________与

_____________或____________的数据传送。

3 . 8086中的BIU由_____________个_____________位段寄存器、一个_____________

位指令指针、_____________字节指令队列、_____________位地址加法器和控制电

路组成。

4 . 8086/8088构成的微机中,每个主存单元对应两种地址:_________和__________。

5 . 对于8259A的中断请求寄存器IRR,当某一个IRi端呈现_____________时,则表示

该端有中断请求。

6 . 若8259A中ICW2的初始值为40H,则在中断响应周期数据总线上出现的与IR5对应

的中断类型码为_____________。

7 . 在存储器的层次结构中,越远离CPU的存储器,其存取速度_____________,存储容

量_____________,价格_____________。

8 . 存储器的存取速度可用_____________和_____________两个时间参数来衡量,其中

后者比前者大。

9 . 中断返回指令IRET总是排在_____________。

10 .若8255A的系统基地址为2F9H,且各端口都是奇地址,则8255A的三个端口地址为

_____________。

三、综合题(第1、3题各10分,第2、4题各15分,共50分)

1 .存储器系统连接如下图,请分别写出图中第一组(1#、2#)、第二组(3#、4#)的地址分配范围。(写出具体步骤)

2 . 计数器/定时器8253,振荡器(频率为1MHZ)连线如下图所示,其中振荡器的脉冲

输出端接通道0的计数输入端CLK

0,设8253的端口地址为180H~186H。

请完成以下任务:

(1)该电路中通道0的OUT0输出2KHZ连续方波,通道0的计数初值为多少(写出计算式)?

GATE0应接何电位?在图上画出。

(2)若要8253的OUT1端,能产生周期为1秒的连续方波,该如何解决?在图上画出。(3)写出实现上述功能的8253初始化程序。

3 .设8255A的4个端口地址为90H~93H,将A口置成方式0输出,B口置成方式1输入,C 作为输入口。要求编制8255A初始化程序并设计译码电路(可选用任意芯片),只用8位地址线(A0~A7)。

4 .通过8259A产生中断使8255A的端口A经过反相驱动器连接一共阴极七段发光二极管显

示器;端口B是中断方式的输入口。设8259A的中断类型码基值是32H。8255A口地址为60H-63H,8259A端口地址为20H、21H。试完成以下任务:

(1)设系统中只有一片8259A,中断请求信号为边沿触发方式,采用中断自动结束方式、全嵌套且工作在非缓冲方式,试完成8259A的初始化编程。

(2)若使LED上显示E,端口A送出的数据应为多少?如显示O端口A送出的数据又为多少?编写程序实现在LED上先显示E,再显示O的程序。

附8259A初始化命令字和操作命令字格式:

微机原理与接口技术(第三版)课本习题答案

第二章 8086体系结构与80x86CPU 1.8086CPU由哪两部分构成它们的主要功能是什么 答:8086CPU由两部分组成:指令执行部件(EU,Execution Unit)和总线接口部件(BIU,Bus Interface Unit)。指令执行部件(EU)主要由算术逻辑运算单元(ALU)、标志寄存器FR、通用寄存器组和EU控制器等4个部件组成,其主要功能是执行指令。总线接口部件(BIU)主要由地址加法器、专用寄存器组、指令队列和总线控制电路等4个部件组成,其主要功能是形成访问存储器的物理地址、访问存储器并取指令暂存到指令队列中等待执行,访问存储器或I/O端口读取操作数参加EU运算或存放运算结果等。 2.8086CPU预取指令队列有什么好处8086CPU内部的并行操作体现在哪里答:8086CPU的预取指令队列由6个字节组成,按照8086CPU的设计要求,指令执行部件(EU)在执行指令时,不是直接通过访问存储器取指令,而是从指令队列中取得指令代码,并分析执行它。从速度上看,该指令队列是在CPU内部,EU从指令队列中获得指令的速度会远远超过直接从内存中读取指令。8086CPU 内部的并行操作体现在指令执行的同时,待执行的指令也同时从内存中读取,并送到指令队列。 5.简述8086系统中物理地址的形成过程。8086系统中的物理地址最多有多少个逻辑地址呢答:8086系统中的物理地址是由20根地址总线形成的。8086系统采用分段并附以地址偏移量办法形成20位的物理地址。采用分段结构的存储器中,任何一个逻辑地址都由段基址和偏移地址两部分构成,都是16位二进制数。通过一个20位的地址加法器将这两个地址相加形成物理地址。具体做法是16位的段基址左移4位(相当于在段基址最低位后添4个“0”),然后与偏移地址相加获得物理地址。由于8086CPU的地址线是20根,所以可寻址的存储空间为1M字节,即8086系统的物理地址空间是1MB。逻辑地址由段基址和偏移地址两部分构成,都是无符号的16位二进制数,程序设计时采用逻辑地址,也是1MB。 6.8086系统中的存储器为什么要采用分段结构有什么好处 答:8086CPU中的寄存器都是16位的,16位的地址只能访问64KB的内存。086系统中的物理地址是由20根地址总线形成的,要做到对20位地址空间进行访问,就需要两部分地址

实验二 运算器实验

南京工程学院 计算机工程学院 计算机组成与结构实验报告书 实验学生班级 K网络工程121 实验学生姓名王云峰 学号 240121525 实验地点信息楼A115 实验二运算器实验 同组同学李翔240121515(合作小组朱赛杰240121533)实验日期 11月27日实验仪器号 TEC-XP+14S022 一、实验目的 1.加深对Am2901运算器内部组成的了解, 掌握四片Am2901芯片间的连接关系, 以及它与有关外部逻辑电路的连接关系。 2.准确把握该运算器的控制与使用, 即掌握其运算与操作功能, 以及正确地为其提供全部控制信号及有关数据的手段与技术。 3.初步了解运算器在计算机整机中的作用。 二、实验内容 1.脱机方式下运算器的控制及运行设计控制信号序列,在脱机方式实现给定程序段的功能。记录按压START 前后的ALU的运算结果和状态标志。 2.联机方式下运算器的控制及运行在联机方式下,汇编并单步执行给定程序段,查看并记录每条指令执行后的运行结果。使用指令的单步骤执行方式,观察与运算器相关的控制信号的状态。 三、实验步骤与结果 脱机的运算器实验,在教学实验中实现如下7项操作功能: 预期功能实现方案 R0 ←1234 数据开关拨1234,B地址给0,D+0,结果送B口选的R0

R9 ←789F 数据开关拨789F,B地址给9,D+0,结果送B口选的R9 R9 ←R9-R0 B地址9,A地址给0,最低位进位给1,B-A,结果送B 口选的R9 R0 ←R0+1 B地址给0,最低位进位给1,B+0,结果送B口选的R0 R10←R0 B地址给A,A地址给0,A+0,结果送B口选的R10 逻辑右移 在有了预期功能和实现方案之后,要解决的具体问题,就是依据教学计算机的简明操作卡中的有关表格中规定的内容,找出实现每一操作功能要用到的控制码。请把表2-3中各组控制信号的正确的取值填写在相应位置,然后把运行结果的状态信息填入表2-4。

(完整版)微机原理及接口技术(习题答案)

范文范例学习指导 第1章微机运算基础 习题和思考题 1.请完成以下计算: 174.66D=(10101110.10101)B=(AE. A8)H 10101110101.01011B=(1397.344)D=(575.58)H 4BCH=(010*********)B=()BCD 2.设字长为8位,X=(2A)16,当X分别为原码、补码、反码和无符号数的时候,其真值 是多少? 答:当X表示原码时,其真值为:+101010 当X表示补码时,其真值为:+101010 当X表示反码时,其真值为:+101010 当X表示无符号数数时,其真值为:00101010 3.设字长为8位,用补码形式完成下列计算,要求有运算结果并讨论是否发生溢出? 120+18 -33-37 -90-70 50+84 答:120+18 其补码形式分别为:(120)补=01111000 (18)补=00010010 01111000 + 00010010 10001010 由于C s=0 ,C p=1,因此有溢出,结果错误 -33-37 其补码形式为:(-33)补=11011111 (-37)补=11011011 11011111 +11011011 10111010 由于C s=1, C p=1,所以没有溢出,结果正确 -90-70 其补码形式为:(-90)补=10011100 (-70)补=10111010 10011100 +10111010 01010110 由于C s=1, C p=0,所以有溢出,结果错误 50+84

其补码形式为:(50)补=00110010 (84)补=01010100 00110010 +01010100 10000110 由于C s=0, C p=1,所以有溢出,结果错误 4.请写出下列字符串的ASCII码值。 My name is Zhang san. 4D 79 6E 61 6D 65 69 73 5A 68 61 6E 67 73 61 6E 2E 第2章 80X86微机系统 习题与思考题 1.微型计算机主要由哪些基本部件组成?各部件的主要功能是什么? 答:微型计算机主要由输入设备、运算器、控制器、存储器和输出设备组成。 各部件的功能分别是:1、输入设备通过输入接口电路将程序和数据输入内存;2、运算器是进行算术运算和逻辑运算的部件,它是指令的执行部件;3、控制器是计算机的指挥中心,它负责对指令进行译码,产生出整个指令系统所需要的全部操作的控制信号,控制运算器、存储器、输入/输出接口等部件完成指令规定的操作;4、存储器用来存放程序、原始操作数、运算的中间结果数据和最终结果数据; 5、输出设备是CPU通过相应的输出接口电路将程序运行的结果及程序、数据送到的设备; 2.微处理器的发展过程是什么? 答:微型计算机的发展过程是: 第一代(1946~1957)——采用电子管为逻辑部件,以超声波汞延迟线、阴极射线管、磁芯和磁鼓等为存储手段;软件上采用机器语言,后期采用汇编语言。 第二代(1957~1965)——采用晶体管为逻辑部件,用磁芯、磁盘作内存和外存;软件上广泛采用高级语言,并出现了早期的操作系统。 第三代(1965~1971)——采用中小规模集成电路为主要部件,以磁芯、磁盘作内存和外存;软件上广泛使用操作系统,产生了分时、实时等操作系统和计算机网络。 第四代(1971~至今)——采用大规模集成电路(LSI)、超大规模集成电路(VLSI)为主要部件,以半导体存储器和磁盘为内、外存储器;在软件方法上产生了结构化程序设计和面向对象程序设计的思想。 3.简述80486微处理器的基本结构。 书12页 4.80486微处理器的工作模式有几种?当CS内容为1000H,IP内容为7896H,求在实地址 模式下的物理地址为多少? 答:实模式和保护模式及虚拟8086模式。当CS内容为1000H,IP内容为7896H,在实地

微机原理与接口技术(第二版) 清华大学出版社

习题1 1.什么是汇编语言,汇编程序,和机器语言? 答:机器语言是用二进制代码表示的计算机能直接识别和执行的一种机器指令的集合。 汇编语言是面向及其的程序设计语言。在汇编语言中,用助记符代替操作码,用地址符号或标号代替地址码。这种用符号代替机器语言的二进制码,就把机器语言编程了汇编语言。 使用汇编语言编写的程序,机器不能直接识别,要由一种程序将汇编语言翻译成机器语言,这种起翻译作用的程序叫汇编程序。 2.微型计算机系统有哪些特点?具有这些特点的根本原因是什么? 答:微型计算机的特点:功能强,可靠性高,价格低廉,适应性强、系统设计灵活,周期短、见效快,体积小、重量轻、耗电省,维护方便。 这些特点是由于微型计算机广泛采用了集成度相当高的器件和部件,建立在微细加工工艺基础之上。 3.微型计算机系统由哪些功能部件组成?试说明“存储程序控制”的概念。 答:微型计算机系统的硬件主要由运算器、控制器、存储器、输入设备和输出设备组成。 “存储程序控制”的概念可简要地概括为以下几点: ①计算机(指硬件)应由运算器、存储器、控制器和输入/输出设备五大基本部件组成。 ②在计算机内部采用二进制来表示程序和数据。 ③将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作,使计算机在不需要人工干预的情况下,自动、高速的从存储器中取出指令加以执行,这就是存储程序的基本含义。 ④五大部件以运算器为中心进行组织。 4.请说明微型计算机系统的工作过程。 答:微型计算机的基本工作过程是执行程序的过程,也就是CPU自动从程序存

放的第1个存储单元起,逐步取出指令、分析指令,并根据指令规定的操作类型和操作对象,执行指令规定的相关操作。如此重复,周而复始,直至执行完程序的所有指令,从而实现程序的基本功能。 5.试说明微处理器字长的意义。 答:微型机的字长是指由微处理器内部一次可以并行处理二进制代码的位数。它决定着计算机内部寄存器、ALU和数据总线的位数,反映了一台计算机的计算精度,直接影响着机器的硬件规模和造价。计算机的字长越大,其性能越优越。在完成同样精度的运算时,字长较长的微处理器比字长较短的微处理器运算速度快。 6.微机系统中采用的总线结构有几种类型?各有什么特点? 答:微机主板常用总线有系统总线、I/O总线、ISA总线、IPCI总线、AGP总线、IEEE1394总线、USB总线等类型。 7.将下列十进制数转换成二进制数、八进制数、十六进制数。 ①(4.75)10=(0100.11)2=(4.6)8=(4.C)16 ②(2.25)10=(10.01)2=(2.2)8=(2.8)16 ③(1.875)10=(1.111)2=(1.7)8=(1.E)16 8.将下列二进制数转换成十进制数。 ①(1011.011)2=(11.375)10 ②(1101.01011)2=(13.58)10 ③(111.001)2=(7.2)10 9.将下列十进制数转换成8421BCD码。 ① 2006=(0010 0000 0000 0110)BCD ② 123.456=(0001 0010 0011.0100 0101 0110)BCD 10.求下列带符号十进制数的8位基2码补码。 ① [+127]补= 01111111

plc实习报告电镀流水线南京工程学院

成绩 南京工程学院 课程设计说明书(论文) 题目电镀流水线生产系统控制 课程名称机电传动控制课程设计 院(系、部、中心)机械工程学院 专业机械设计制造及其自动化 班级 学生姓名 学号 设计地点工程中心 指导教师蒋荣、马银忠 设计起止时间:2015 年 6 月8 日至2015 年6 月12 日

引言 随着社会的不断发展,科学技术的不断进步,人们已经对越来越多的事物不是进行手动操作,而是进行人工智能控制。例如智能机器人以及立体式存储仓库的产生等等,一改往日以前人们取料时间长,难度大,效率低。 本次课程设计题目配料车控制系统程序设计也正是在这样的背景下应运而生的,本次课程设计的目的是掌握机电传动控制系统的基本原理,PLC控制电路的设计方法以及继电器—接触器控制电路的PLC改造方法。掌握机电传动控制系统中继电器—接触器控制和PLC控制的基本原理,设计方法及两者的关系。掌握常用电器元件的选择方法。具备一定的控制电路的分析能力与设计能力。运用所学的可编程控制器的相关知识在实验电路板上实现小车取料的控制。改变以往人工取料时间长,难度大,效率低的缺点。提高工作效率,节省人力资源。 可编程序控制器(Programmable Logic Controller)是以微处理器为核心,综合了微电子技术、自动化技术、网络通讯技术于一体的通用工业控制装置。英文缩写为PC或PLC。它具有体积小、功能强、程序设计简单、灵活通用、维护方便等一系列优点,特别是它的高可靠性和较强的适应恶劣工业环境的能力,更得到用户的好评。因而在机械、能源、化工、交通、电力等领域得到了越来越广泛的应用,成为现代工业控制的三大支柱初期的PLC只是用于逻辑控制的场合,代替继电器控制系统。随着微电子技术的发展,PLC以微处理器为核心,适用于开关量、模拟量和数字量的控制,它已进入过程控制和位置控制等场合的控制领域。

微机原理与接口技术学习心得

本学期微机原理课程已经结束,关于微机课程的心得体会甚多。微机原理与接口技术作为一门专业课,虽然要求没有专业课那么高,但是却对自己今后的工作总会有一定的帮助。记得老师第一节课说学微机原理是为以后的单片机打基础,这就让我下定决心学好微机原理这门课程。 初学《微机原理与接口技术》时,感觉摸不着头绪。面对着众多的术语、概念及原理性的问题不知道该如何下手。在了解课程的特点后,我发现,应该以微机的整机概念为突破口,在如何建立整体概念上下功夫。可以通过学习一个模型机的组成和指令执行的过程,了解和熟悉计算机的结构、特点和工作过程。 《微机原理与接口技术》课程有许多新名词、新专业术语。透彻理解这些名词、术语的意思,为今后深入学习打下基础。一个新的名词从首次接触到理解和应用,需要一个反复的过程。而在众多概念中,真正关键的并不是很多。比如“中断”概念,既是重点又是难点,如果不懂中断技术,就不能算是搞懂了微机原理。在学习中凡是遇到这种情况,绝对不轻易放过,要力求真正弄懂,搞懂一个重点,将使一大串概念迎刃而解。 学习过程中,我发现许多概念很相近,为了更好地掌握,将一些容易混淆的概念集中在一起进行分析,比较它们之间的异同点。比如:微机原理中,引入了计算机由五大部分组成这一概念;从中央处理器引出微处理器的定义;在引出微型计算机定义时,强调输入/输出接口的重要性;在引出微型计算机系统的定义时,强调计算机软件与计算机硬件的相辅相成的关系。微处理器是微型计算机的重要组成部分,它与微型计算机、微型计算机系统是完全不同的概念在微机中,最基础的语言是汇编语言。汇编语言是一个最基础最古老的计算机语言。语言总是越基础越重要,在重大的编程项目中应用最广泛。就我的个人理解,汇编是对寄存的地址以及数据单元进行最直接的修改。而在某些时候,这种方法是最有效,最可靠的。 然而,事物总有两面性。其中,最重要的一点就是,汇编语言很复杂,对某个数据进行修改时,本来很简单的一个操作会用比较烦琐的语言来解决,而这些语言本身在执行和操作的过程中,占有大量的时间和成本。在一些讲求效率的场合,并不可取。 汇编语言对学习其他计算机起到一个比较、对照、参考的促进作用。学习事物总是从最简单基础的开始。那么学习高级语言也当然应当从汇编开始。学习汇

关于综合性设计性实验的说明

南京工程学院车辆工程系 关于综合性、设计性实验的说明 1、关于实验类型的说明: a. 演示性实验指为便于学生对客观事物的认识,以直观演示的形式,使学生了解其事物的形态结构和相互关系、变化过程及其规律的教学过程。 b. 验证性实验:以加深学生对所学知识的理解,掌握实验方法与技能为目的,验证课堂所讲某一原理、理论或结论,以学生为具体实验操作主体,通过现象衍变观察、数据记录、计算、分析直至得出被验证的原理、理论或结论的实验过程。 c. 综合性实验:是指实验内容涉及本课程的综合知识或与本课程相关课程知识的实验。 d. 设计性实验:是指给定实验目的、要求和实验条件,由教师给定实验目标,学生自行设计实验方案并加以实现的实验。 2、综合性、设计性实验的界定 综合性实验是指实验内容涉及本课程的综合知识或与本课程相关课程 知识的实验。是学生在具有一定知识和技能的基础上,运用某一门课程或多门课程的知识、技能和方法进行综合训练的一种复合型实验。根据定义,综合性实验内容应满足下列条件之一:①涉及本课程多个章节的知识点;②涉及多门课程的多个知识点;③多项实验内容的综合。 设计性实验是指给定实验目的、要求和实验条件,由学生自行设计实验方案并加以实现的实验。设计性实验一般是指导教师给出题目,由学生运用已掌握的基本知识、基本原理和实验技能,提出实验的具体方案、拟定实验

步骤、选定仪器设备、独立完成操作、编程、记录实验数据、绘制图表、分析实验结果等。 3、对综合性、综合性实验进行论证 论证专家组组长由院长或主管实验教学的副院长担任,成员不少于3人。应聘请该领域或与该领域相关的具有副高级以上职称的专家担任论证组成员。应有综合性、设计性实验教学大纲、综合性、设计性实验指导书;专家组根据实验目的、实施设想、所利用的知识以及实验条件要求等,进行实验属性判定和可行性论证。 对论证符合综合性或设计性实验要求的实验项目的教学过程要进行监 督和检查,对学生的实验报告、实验记录和结果等要进行抽查,确保实验内容符合综合性、设计性实验教学要求。对不符合综合性、设计性实验要求的实验项目,直接转为验证性实验。 4、综合性、设计性实验内容的确定及大纲编写 在确定综合性、设计性实验的实验内容时应充分考虑课程教学大纲的要求和课程特点。指导教师可选择一些灵活性比较大,完成思路比较多,学生有发挥余地的内容作为综合性、设计性实验的实验内容,且难度不宜太大,操作不宜太复杂。 在制订综合性、设计性实验大纲时除了一般实验大纲规定的内容外,应说明该实验为综合性或设计性实验的特性及要求。 综合性、设计性实验的实验学时一般在3-6学时,计划学时内不能完成的可在实验室的开放时间内完成。 5、综合性、设计性实验指导书编写

《微机原理与接口技术》参考答案

《微机原理与接口技术》参考答案 《微机原理与接口技术》习题参考答案习题 2 1. 为何说8086CPU是16位CPU?答:16位指的是8086CPU的字长,而字长一般来说和运算器、寄存器、总线宽度一致。因为8086CPU的内部寄存器、内部运算部件以及内部操作都是按16位设计的,这决定了它的字长为16位。 2. 8086CPU哪两个单元组成?其中,指令队列在哪个单元中,有何作用?答:总线接口单元和执行单元。指令队列在BIU中。它的作用是当EU在执行指令时,空闲的BIU可以从内存读取后续指令到指令队列,这样就可以将取指令工作和执行指令工作重叠进行,从而提高CPU的工作效率,加快指令的执行速度。 3. 8086CPU中8位寄存器和16位寄存器是什么关系?答:8086的通用寄存器包括数据寄存器、指

针寄存器和变址寄存器。其中数据寄存器包含AX、BX、CX、DX四个16位寄存器,但他们每个都可以分开作为两个单独的8位寄存器使用。8086的指针寄存器和变址寄存器不可分割为8位寄存器。4. 8086CPU中的IP寄存器有何用途?答:IP寄存器是指令指针寄存器,用来存放下一条要执行的指令在代码段中的偏移地址。在程序运行过程中,IP寄存器始终指向下一条指令的首地址,与CS寄存器联合确定下一条指令的物理地址。8086就是通过IP寄存器来控制指令序列的执行流程。 5. 在标志寄存器中,用于反映运算结果属性的标志位有哪些?它们每一位所表示的含义是什么?答:有CF、PF、AF、ZF、SF、OF。它们的含义如下:CF:进位标志。它记录运算时从最高有效位产生的进位值或结果值。最高有效位有进位或有借位时CF=1,否则CF=0。PF:奇偶标志。它记录运算结果的奇偶检验条件。当结果操作数

微机原理与接口技术习题答案

《微机原理与接口技术》习题答案 一、单项选择题 1、80486CPU进行算术和逻辑运算时,可处理的信息的长度为( D )。 A、32位 B、16位 C、8位 D、都可以 2、在下面关于微处理器的叙述中,错误的是( C ) 。 A、微处理器是用超大规模集成电路制成的具有运算和控制功能的芯片 B、一台计算机的CPU含有1个或多个微处理器 C、寄存器由具有特殊用途的部分内存单元组成,是内存的一部分 D、不同型号的CPU可能具有不同的机器指令 3、若用MB作为PC机主存容量的计量单位,1MB等于( B )字节。 A、210个字节 B、220个字节 C、230个字节 D、240个字节 4、运算器在执行两个用补码表示的整数加法时,判断其是否溢出的规则为( D )。 A、两个整数相加,若最高位(符号位)有进位,则一定发生溢出 B、两个整数相加,若结果的符号位为0,则一定发生溢出 C、两个整数相加,若结果的符号位为1,则一定发生溢出 D、两个同号的整数相加,若结果的符号位与加数的符号位相反,则一定发生溢出 5、运算器的主要功能是( C )。 A、算术运算 B、逻辑运算 C、算术运算与逻辑运算 D、函数运算 6、指令ADD CX,55H[BP]的源操作数的寻址方式是(D )。 A、寄存器寻址 B、直接寻址 C、寄存器间接寻址 D、寄存器相对寻址 7、设(SS)=3300H,(SP)=1140H,在堆栈中压入5个字数据后,又弹出两个字数据,则(SP)=(A ) A、113AH B、114AH C、1144H D、1140H 8、若SI=0053H,BP=0054H,执行SUB SI,BP后,则( C)。 A、CF=0,OF=0 B、CF=0,OF=1 C、CF=1,OF=0 D、CF=1,OF=1 9、已知(BP)=0100H,(DS)=7000H,(SS)=8000H,(80100H)=24H,(80101H)=5AH,(70100H)=01H,(70101H)=02H,指令MOV BX,[BP]执行后,(BX)=(D ) 。 A、0102H B、0201H C、245AH D、5A24H 10、实模式下80486CPU对指令的寻址由(A )决定。 A、CS,IP B、DS,IP C、SS,IP D、ES,IP 11、使用80486汇编语言的伪操作指令定义: VAL DB 2 DUP(1,2,3 DUP(3),2 DUP(1,0)) 则

数据结构实验报告

南京工程学院实验报告 操作的函数程序清单,分别用顺序表和链表结构完成,并在首页上表明团队名称、成员及个人的工作(函数),未来的成绩评定时将包含这一部分的团队成绩及个人的工作成绩。 一、实验目的 1.熟悉上机环境,进一步掌握语言的结构特点。 2.掌握线性表的顺序存储结构的定义及实现。 3.掌握线性表的链式存储结构——单链表的定义及实现。 4.掌握线性表在顺序存储结构即顺序表中的各种基本操作。 5.掌握线性表在链式存储结构——单链表中的各种基本操作。 二、实验内容 1.顺序线性表的建立、插入及删除。 2.链式线性表的建立、插入及删除。 三、实验步骤 1.建立含n个数据元素的顺序表并输出该表中各元素的值及顺序表的长度。 2.利用前面的实验先建立一个顺序表L={21,23,14,5,56,17,31},然后在第i个位置插入元素68。 3.建立一个带头结点的单链表,结点的值域为整型数据。要求将用户输入的数据按尾插入法来建立相应单链表。 四、程序主要语句及作用(main函数程序清单) 程序1的主要代码(附简要注释) #include #define MAXSIZE 1024 typedef int elemtype; typedef struct{ elemtype vec[MAXSIZE]; int len; }sequenlist; elemtype geti(sequenlist s, int i); elemtype deli(sequenlist *s,int i); elemtype insi(sequenlist *s,int i,int b); int main(int argc, char *argv[]){ int i,n,x; sequenlist a; printf("输入n(n>3):"); scanf("%d",&n);

微机原理与接口技术期末考试试题及答案

微机原理与接口技术期末考试题库 1.微机系统的硬件由哪几部分组成? 答:三部分:微型计算机(微处理器,存储器,I/0接口,系统总线),外围设备,电源。 2.什么是微机的总线,分为哪三组? 答:是传递信息的一组公用导线。分三组:地址总线,数据总线,控制总线。 3.8086/8088CPU的内部结构分为哪两大模块,各自的主要功能是什 么? 答:总线接口部件(BIU)功能:根据执行单元EU的请求完成CPU 与存储器或IO设备之间的数据传送。执行部件(EU),作用:从指令对列中取出指令,对指令进行译码,发出相应的传送数据或算术的控制信号接受由总线接口部件传送来的数据或把数据传送到总线接 口部件进行算术运算。 4.8086指令队列的作用是什么? 答:作用是:在执行指令的同时从内存中取了一条指令或下几条指令,取来的指令放在指令队列中这样它就不需要象以往的计算机那样让CPU轮番进行取指和执行的工作,从而提高CPU的利用率。 5.8086的存储器空间最大可以为多少?怎样用16位寄存器实现对 20位地址的寻址?完成逻辑地址到物理地址转换的部件是什么?

答:8086的存储器空间最大可以为2^20(1MB);8086计算机引入了分段管理机制,当CPU寻址某个存储单元时,先将段寄存器内的内容左移4位,然后加上指令中提供的16位偏移地址形成20位物理地址。 6.段寄存器CS=1200H,指令指针寄存器IP=FF00H,此时,指令 的物理地址为多少?指向这一物理地址的CS值和IP值是唯一的吗? 答:指令的物理地址为21F00H;CS值和IP值不是唯一的,例如:CS=2100H,IP=0F00H。 7.设存储器的段地址是4ABFH,物理地址为50000H,其偏移地址 为多少? 答:偏移地址为54100H。(物理地址=段地址*16+偏移地址) 8.8086/8088CPU有哪几个状态标志位,有哪几个控制标志位?其意 义各是什么? 答:状态标志位有6个:ZF,SF,CF,OF,AF,PF。其意思是用来反映指令执行的特征,通常是由CPU根据指令执行结果自动设置的;控制标志位有3个:DF,IF,TF。它是由程序通过执行特定的指令来设置的,以控制指令的操作方式。 9.8086CPU的AD0~AD15是什么引脚? 答:数据与地址引脚 10.INTR、INTA、NMI、ALE、HOLD、HLDA引脚的名称各是什么?

微机原理与接口技术

第二章 8086系统结构 一、 8086CPU 的内部结构 1.总线接口部件BIU (Bus Interface Unit ) 组成:20位地址加法器,专用寄存器组,6字节指令队列,总线控制电路。 作用:负责从内存指定单元中取出指令,送入指令流队列中排队;取出指令所需的操作 数送EU 单元去执行。 工作过程:由段寄存器与IP 形成20位物理地址送地址总线,由总线控制电路发出存储器“读”信号,按给定的地址从存储器中取出指令,送到指令队列中等待执行。 *当指令队列有2个或2个以上的字节空余时,BIU 自动将指令取到指令队列中。若遇到转移指令等,则将指令队列清空,BIU 重新取新地址中的指令代码,送入指令队列。 *指令指针IP 由BIU 自动修改,IP 总是指向下一条将要执行指令的地址。 2.指令执行部件EU (Exection Unit) 组成:算术逻辑单元(ALU ),标志寄存器(FR ),通用寄存器,EU 控制系统等。 作用:负责指令的执行,完成指令的操作。 工作过程:从队列中取得指令,进行译码,根据指令要求向EU 内部各部件发出控制命令,完成执行指令的功能。若执行指令需要访问存储器或I/O 端口,则EU 将操作数的偏移地址送给BIU ,由BIU 取得操作数送给EU 。 二、 8088/8086的寄存器结构 标志寄存器 ALU DI DH SP SI BP DL AL AH BL BH CL CH ES SS DS CS 内部暂存器输入 / 输出控制 电路1432EU 控制系 统20位16位8086总线指令 队列总线 接口单元执行 单元 6 516位 属第三代微处理器 运算能力: 数据总线:DB

漏洞扫描实验报告

漏洞扫描实验报告

————————————————————————————————作者:————————————————————————————————日期:

南京工程学院 实验报告 题目漏洞扫描 课程名称网络与信息安全技术院(系、部、中心)康尼学院 专业网络工程 班级 K网络工程111 学生姓名赵志鹏 学号 240111638 设计地点信息楼A216 指导教师毛云贵 实验时间 2014年3月13日实验成绩

漏洞扫描 一:实验目的 1.熟悉X-Scan工具的使用方法 2.熟悉工具的使用方法 3.会使用工具查找主机漏洞 4.学会对弱口令的利用 5.了解开启主机默认共享以及在命令提示下开启服务的方法 6.通过实验了解如何提高主机的安全性 二:实验环境 Vmware虚拟机,网络教学系统 三:实验原理 一.漏洞扫描简介 漏洞扫描是一种网络安全扫描技术,它基于局域网或Internet远程检测目标网络或主机安全性。通过漏洞扫描,系统管理员能够发现所维护的Web服务器的各种TCP/IP端口的分配、开放的服务、Web服务软件版本和这些服务及软件呈现在Internet上的安全漏洞。漏洞扫描技术采用积极的、非破坏性的办法来检验系统是否含有安全漏洞。网络安全扫描技术与防火墙、安全监控系统互相配合使用,能够为网络提供很高的安全性。 漏洞扫描分为利用漏洞库的漏洞扫描和利用模拟攻击的漏洞扫描。 利用漏洞库的漏洞扫描包括:CGI漏洞扫描、POP3漏洞扫描、FTP漏洞扫描、SSH漏洞扫描和HTTP漏洞扫描等。 利用模拟攻击的漏洞扫描包括:Unicode遍历目录漏洞探测、FTP 弱口令探测、OPENRelay邮件转发漏洞探测等。 二.漏洞扫描的实现方法 (1)漏洞库匹配法 基于漏洞库的漏洞扫描,通过采用漏洞规则匹配技术完成扫描。漏洞库是通过以下途径获取的:安全专家对网络系统的测试、黑客攻击案例的分析以及系统管理员对网络系统安全配置的实际经验。漏洞库信息的完整性和有效性决定了漏洞扫描系统的功能,漏洞库应定期修订和更新。 (2)插件技术(功能模块技术) 插件是由脚本语言编写的子程序,扫描程序可以通过调用它来执行漏洞扫描,检测系统中存在的漏洞。插件编写规范化后,用户可以自定义新插件来扩充漏洞扫描软件的功能。这种技术使漏洞扫描软件的升级维护变得相对简单。 三.弱口令 通常帐户包含用户名及对应的口令。当口令使用简单的数字和字母组合时,非常容易被破解,我们称这种口令为弱口令。X-Scan工具中涵盖了很多种弱口令扫描方法,包括、SSH、POP3、IMAP、TELNET、WWW等。 为消除弱口令产生的安全隐患,我们需要设置复杂的密码,并养成定期更换密码的良好习惯。复杂的密码包含数字,字母(大写或小写),特殊字符等。例如:123$%^jlcss2008或123$%^JLCSS2008。

实验三网站钓鱼攻击 实验报告

南京工程学院 实验报告 题目网站钓鱼攻击 课程名称网络与信息安全技术 院(系、部、中心)计算机工程学院 专业网络工程 班级 学生姓名 学号 设计地点信息楼A216 指导教师毛云贵 实验时间 2014年3月20日 实验成绩

一实验目的 1.了解钓鱼攻击的概念和实现原理 2.了解钓鱼网站和正常网站的区别 3.提高抵御钓鱼攻击的能力 二实验环境 Windows,交换网络结构,UltraEdit 三实验原理 3.1.什么是钓鱼网站 网络钓鱼是通过大量发送声称来自于银行或其他知名机构的欺骗性垃圾邮件,意图引诱收信人给出敏感信息(如用户名、口令、帐号ID、ATM PIN码或信用卡详细信息)的一种攻击方式。最典型的网络钓鱼攻击将收信人引诱到一个通过精心设计与目标组织的网站非常相似的钓鱼网站上,并获取收信人在此网站上输入的个人敏感信息,通常这个攻击过程不会让受害者警觉。这些个人信息对黑客们具有非常大的吸引力,因为这些信息使得他们可以假冒受害者进行欺诈性金融交易,从而获得经济利益。受害者经常遭受严重的经济损失或个人信息被窃取。 钓鱼网站通常伪装成为银行网站,窃取访问者提交的账号和密码信息。它一般通过电子邮件传播,此类邮件中包含一个经过伪装的链接,该链接将收件人链接到钓鱼网站。钓鱼网站的页面与真实网站界面完全一致,要求访问者提交账号和密码。一般来说钓鱼网站结构很简单,只是一个或几个页面,URL和真实网站有细微差别,如真实的工行网站为 https://www.docsj.com/doc/e511334337.html,,针对工行的钓鱼网站则可能为https://www.docsj.com/doc/e511334337.html,。 3.2.钓鱼网站的防范措施 1.启用专用域名 现在的网址有好几种,https://www.docsj.com/doc/e511334337.html,是一个商业性网站,而https://www.docsj.com/doc/e511334337.html,是政府网站,

微机原理与接口技术知识点总结整理

《微机原理与接口技术》复习参考资料 第一章概述 一、计算机中的数制 1、无符号数的表示方法: (1)十进制计数的表示法 特点:以十为底,逢十进一; 共有0-9十个数字符号。 (2)二进制计数表示方法: 特点:以2为底,逢2进位; 只有0和1两个符号。 (3)十六进制数的表示法: 特点:以16为底,逢16进位; 有0--9及A—F(表示10~15)共16个数字符号。 2、各种数制之间的转换 (1)非十进制数到十进制数的转换 按相应进位计数制的权表达式展开,再按十进制求和。(见书本1.2.3,1.2.4)(2)十进制数制转换为二进制数制 ●十进制→二进制的转换: 整数部分:除2取余; 小数部分:乘2取整。 ●十进制→十六进制的转换: 整数部分:除16取余; 小数部分:乘16取整。 以小数点为起点求得整数和小数的各个位。 (3)二进制与十六进制数之间的转换 用4位二进制数表示1位十六进制数 3、无符号数二进制的运算(见教材P5) 4、二进制数的逻辑运算 特点:按位运算,无进借位 (1)与运算 只有A、B变量皆为1时,与运算的结果就是1 (2)或运算 A、B变量中,只要有一个为1,或运算的结果就是1 (3)非运算 (4)异或运算 A、B两个变量只要不同,异或运算的结果就是1 二、计算机中的码制 1、对于符号数,机器数常用的表示方法有原码、反码和补码三种。数X的原码记作[X]原,反码记作[X]反,补码记作[X]补。

注意:对正数,三种表示法均相同。 它们的差别在于对负数的表示。 (1)原码 定义: 符号位:0表示正,1表示负; 数值位:真值的绝对值。 注意:数0的原码不唯一 (2)反码 定义: 若X>0 ,则[X]反=[X]原 若X<0,则[X]反= 对应原码的符号位不变,数值部分按位求反 注意:数0的反码也不唯一 (3)补码 定义: 若X>0,则[X]补= [X]反= [X]原 若X<0,则[X]补= [X]反+1 注意:机器字长为8时,数0的补码唯一,同为00000000 2、8位二进制的表示范围: 原码:-127~+127 反码:-127~+127 补码:-128~+127 3、特殊数10000000 ●该数在原码中定义为:-0 ●在反码中定义为:-127 ●在补码中定义为:-128 ●对无符号数:(10000000)2= 128 三、信息的编码 1、十进制数的二进制数编码 用4位二进制数表示一位十进制数。有两种表示法:压缩BCD码和非压缩BCD码。(1)压缩BCD码的每一位用4位二进制表示,0000~1001表示0~9,一个字节表示两位十进制数。 (2)非压缩BCD码用一个字节表示一位十进制数,高4位总是0000,低4位的0000~1001表示0~9 2、字符的编码 计算机采用7位二进制代码对字符进行编码 (1)数字0~9的编码是0110000~0111001,它们的高3位均是011,后4位正好与其对应的二进制代码(BCD码)相符。

微机原理与接口技术试题库(含答案)汇总

一、问答题 1、下列字符表示成相应的ASCII码是多少? (1)换行0AH (2)字母“Q”51H (3)空格20H 2、下列各机器数所表示数的范围是多少? (1)8位二进制无符号定点整数; 0~255 (2)8位二进制无符号定点小数;0.996094 (3)16位二进制无符号定点整数;0~65535 (4)用补码表示的16位二进制有符号整数;-32768~32767 3、(111)X=273,基数X=?16 4、有一个二进制小数X=0.X1X2X3X4X5X6 (1)若使X≥1/2,则X1……X6应满足什么条件? X1=1 若使X>1/8,则X1……X6应满足什么条件?X1∨X2 ∨X3=1 (2) 5、有两个二进制数X=01101010,Y=10001100,试比较它们的大小。 (1)X和Y两个数均为无符号数;X>Y (2)X和Y两个数均为有符号的补码数。X

南京工程学院EDA所有实验报告(包含程序以及波形图)潘清明

电子设计自动化EDA技术实验K数控121 一位全加器源程序: LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY QJQ IS PORT(A,B,CIN:IN STD_LOGIC; SUM,CO:OUT STD_LOGIC); END QJQ; ARCHITECTURE ART1 OF QJQ IS BEGIN PROCESS(A,B,CIN) BEGIN IF(A='0'AND B='0'AND CIN='0')THEN SUM<='0'; CO<='0'; ELSIF(A='1'AND B='0'AND CIN='0')THEN SUM<='1'; CO<='0'; ELSIF(A='0'AND B='1'AND CIN='0')THEN SUM<='1'; CO<='0'; ELSIF(A='1'AND B='1'AND CIN='0')THEN SUM<='0'; CO<='1'; ELSIF(A='0'AND B='0'AND CIN='1')THEN SUM<='1'; CO<='0'; ELSIF(A='1'AND B='0'AND CIN='1')THEN SUM<='0'; CO<='1'; ELSIF(A='0'AND B='1'AND CIN='1')THEN SUM<='0'; CO<='1'; ELSE SUM<='1'; CO<='1'; END IF; END PROCESS; END ART1;

一位全加器 4位全加器

微机原理与接口技术

微机原理及接口技术 第一部分客观题 一、单项选择题(每小题2分,共10分) 1. 寄存器ECX勺低16位部分可以用 ____________ 达。 A EX B CX C CH D CL 2. 8086处理器执行“ OUT DX,AL指令时,AL的数据出现在_____________ 输出给外设。 A控制总线B地址总线C电源和地线D 数据总线 3. 与DRAM目比,SRAM勺特点是___________ 。 A集成度高、存取周期长B集成度低、存取周期长 C集成度高、存取周期短D集成度低、存取周期短 4. 使用语句“ var dword 3721 ”定义的变量var在主存占用___________ 字节存储空间。 A 1 B 2 C 4 D 8 5. 用8K>8结构SRAM芯片构成64000H H6FFFFH地址范围的存储器,需要使用__________ 。 A 4 B 6 C 8 D 10 二、对错判断题(每小题2分,共10分)(说明:正确的选“ A ,错误选“ B” 6. IA-32 处理器设置的中断标志IF = 0是关中断,表示禁止内部中断和外部中断的所有中断请求。X

7. 已知var是一个变量,语句“ add esi,byte ptr var ”没有语法错误。X 8. DMA传输由DMA控制器控制,无需处理器执行I/O指令。V 9. 高性能计算机中常使用Cache (高速缓冲存储器)提高主存性能。V 10. 向某个I/O端口写入一个数据,一定可以从该I/O端口读回这个数据。V 第二部分主观题 一、填空题(每空2分,共10分) 1. 8086处理器引脚有3个最基本的读写控制信号,它们是M/IO*,—RD* __________ 和____ /R* ______ 。 2. 逻辑地址由—段基地址_________ 口偏移地址两部分组成。代码段中下一条要执行的指令由CS和 _____ 指针IP ____ 寄存器指示,后者在实地址模型中起作用 的仅有_____ 指针 ____ 寄存器部分。 二、问答题(每小题6分,共30分) 1. 什么是JMP指令的近(near)转移和远(far )转移? jmp指令的近转移是指在同一个段里面的转移,也叫做段内近转移,用汇编编码就是这样的jmp near ptr标号 jmp指令的远转移是指段与段之间的转移,就是说不在同一个段的转移,用汇编编码就是这样的jmp far ptr 标号 2. 什么是存储访问的局部性原理,它分成哪两个方面的局部性? 程序局部性原理:虚拟存储管理的效率与程序局部性程序有很大关系。根据统计,进程运行时,在一段时间内,其程序的执行往往呈现岀高度的局限性,包括时间局部性和空间局部性。 1、时间局部性:是指若一条指令被执行,则在不久的将来,它可能再被执行。 2、空间局部性:是指一旦一个存储单元被访问,那它附近的单元也将很快被访问。

相关文档
相关文档 最新文档