文档视界 最新最全的文档下载
当前位置:文档视界 › 汇编语言与接口技术复习要点总结及一些习题教学文稿

汇编语言与接口技术复习要点总结及一些习题教学文稿

汇编语言与接口技术复习要点总结及一些习题教学文稿
汇编语言与接口技术复习要点总结及一些习题教学文稿

要点总结

第二章微型计算机结构

1.8086的段式存储结构

段地址+偏移量地址=存储单元的物理地址

或者,段寄存器的值*16+偏移地址=存储单元的物理地址2.段寄存器,通用寄存器,指针与变址寄存器,标志寄存器3.寻址方式

(1)寻址方式的概念

(2)寻址方式的分类

(3)各寻址方式中对于寄存器的限制

(4)各类寻址方式的格式

第三章汇编语言(参照课件)

1.汇编语句格式:[标号] 操作符操作数[;注释] 2.标号的概念以及其三个属性

3.伪指令:

(1)符号定义伪指令:EQU

(2)数据定义伪指令:DB,DW

(3)段定义伪指令:SEGMENT、ENDS、ASSUME

4、运算符

(1)分析算符:SEG,,OFFSET ,SIZE,LENGTH

(2)组合算符:PTR

5.指令语句与伪指令语句的区别

6.数据段、堆栈段、代码段的用途

第四章指令及汇编程序设计

1.数据传送指令:MOV、PUSH、POP、XCHG、XLAT

2.算数运算指令:ADD、ADC、INC、SUB、SBB、DEC、NEG、3.逻辑运算指令:CMP、TEST、OR、AND、NOT、XOR

4.移位指令:逻辑左移/右移,算数左移/右移,循环左移/右移,带进位的循环左移/右移;以及移位指令对于CF、OF标志位的影响

分支结构程序设计

1.无条件转移指令

2.有条件的转移指令(教材P102)

3.分支程序编写

循环结构程序设计

1.循环控制指令LOOP、LOOPE/Z、LOOPNE/NZ以及他们的控制条件(对CF、ZF的判断)

2.循环程序编写

子程序设计

1.子程序定义伪指令、调用指令、返回指令

2.子程序设计中对于堆栈操作的要求

第五章输入与输出中断

1.中断向量表、中断向量的概念

2.中断向量的修改

3.中断的类型:软件中断、可屏蔽硬件中断、非屏蔽硬件中断;以

及CPU队可屏蔽硬件中断和非屏蔽硬件中断的不同的响应条件4.8259的基本结构和工作原理

5.8259的操作命令字:包括各个命令字的作用、格式、具体的操作6.软件中断与硬件中断的异同点

第六章8254程序设计

1.8254的基本结构和工作原理

2.8254的命令字格式,以及对于8254各个计数器工作方式的设定

8255程序设计

1.8255的基本结构和工作原理

2.8255的命令字格式,以及对于8255各端口的操作

16550

异步串行通信中,波特率的概念,数据帧的概念

第七章简单外设

LED的显示原理

键盘的结构及扫描原理

一些复习题

习题一

一、选择题

1、8086CPU中程序计数器IP中存放的是。

A、指令

B、指令在现行代码段中的偏移地址

C、操作数

D、操作数在现行代码段中的偏移地址

2、Intel8086CPU内部的两大功能部件是。

A、标志寄存器及ALU

B、BIU及EU

C、指令队列及AIU

D、地址加法器及ALU

3、8086CPU有20根地址线,直接寻址内存的空间为。

A、64MB

B、1MB

C、4MB

D、8MB

4、Intel8086堆栈是按原则构造的。

A、先进先出

B、后进后出

C、先进后出

D、随机存取

5、微型计算机主存储器的基本编址单元的长度是。

A、64位

B、32位

C、16位

D、8位

6、为使CPU识别不同的内存单元,需要为每个内存单元规定不同的。

A、符号

B、地址

C、数据

D、状态

7、指令指针IP与组合使用,确定下一条指令存放单元的物理地址。

A、CS

B、ES

C、SS

D、DS

8、堆栈指针SP与组合使用,确定栈顶单元的物理地址。

A、CS

B、ES

C、SS

D、DS

9、8086对内存进行分段管理,一个段的最大范围是。

A、64KB

B、128KB

C、512MB

D、1MB

10、8086CPU用于存放当前数据段段基址的寄存器是。

A、CS

B、ES

C、SS

D、DS

11、为数据段基址寄存器DS赋值得汇编语句正确的是。

A、ASSUME DS:DATA

B、SEGMENT DATA

C、MOV DS,DATA

D、MOV AX,DATA

MOV DS,AX

12、下列描述正确的是B。

A、汇编语言只由指令语句构成

B、汇编语言语句包括指令语句和伪指令语句

C、指令性语句和伪指令语句的格式是完全相同的

D、指令性语句和伪指令语句都能经过汇编程序翻译成机器代码

13、8086/8088系统中,一个栈可使用的最大空间是。

A、1MB

B、由SP初值决定

C、64KB

D、由SS初值决定

14、若(AL)=10101101B,为了使其内容变为01011101B,下列哪一种执行一次即可完成此操作?xor al,11110000b

A、NOT指令

B、AND指令

C、XOR指令

D、OR指令

15、设(SS)=2000H,(SP)=0100H,(AX)=2107H,执行指令PUSH AX后,存放数据21H 的物理地址为。

A、20102H

B、200FEH

C、20101H

D、200FFH

16、令DF=1的指令是。

A、STD

B、CLD

C、CLC

D、STC

17、将累加器AX的内容清零,错误的指令为。

A、AND AX,0

B、XOR AX,AX

C、SUB AX,AX

D、CMP AX,AX

18、指令MOVSB中源串的逻辑地址为。

A、DS:SI

B、DS:DI

C、DS:DI

D、ES:DI

19、有指令MOV AX, 1234H,指令中的立即数1234H是存储在____________________。

A.数据段

B.代码段

C.附加段

D.堆栈段

20、交换寄存器SI,DI的内容,正确的程序段是。

A、 PUSH SI

B、PUSH SI

PUSH DI PUSH DI

POP SI POP DI

POP DI POP SI

C、 MOV AX,SI

D、MOV AX,SI

MOV DI,SI MOV BX,DI

MOV SI,DI XCHG BX,AX

21、假设ES段中有一个字符串‘12FG3LM5C’,其名为ARRAY,下面程序段

CLD

LEA DI,ES:ARRAY

MOV AL,’G’

MOV CX,9

REPNE SCASB

执行后,CX的值为。

A、9

B、5

C、4

D、0

22、下列串操作指令中,在指令前加重复前缀指令REP是没有实际使用价值的。

A、MOVSB

B、STOSB

C、CMPSB

D、LODSB

23、执行下面的程序段后,AL中的内容为。

BUF DW 2152H,3416H,5731H,4684H

….

MOV BX,OFFSET BUF

MOV AL,3

XLAT

A、46H

B、34H

C、16H

D、57H

24、在汇编语言程序中,对END语句的叙述正确的是()。

A、END语句是一可执行语句

B、END语句表示程序执行到此结束

C、END语句表示源程序到此结束

D、END语句在汇编后要产生机器码

25、下面的数据传送指令中,错误的操作是()。

A、MOV SS:[BX+DI],AX

B、MOV DX,1000H

C、MOV WORD PTR[BX],1000H

D、MOV DS,2000H

26、伪指令VAR DD ? 将在内存预留字节的存储空间。

A、1

B、2

C、4

D、6

27、设X1和X2是用DB定义的变量,下列指令中正确的是。

A、MOV X1,20H

B、MOV AX,X1

C、MOV X1,X2

D、MOV 20H,X2

28、执行下面的程序段后,AX中的内容为。

NUM DW ? ,23

NAME DW 10 DUP(?)

N EQU 6

LEN EQU $-NUM

MOV AX,LEN

A、18H

B、1CH

C、15H

D、16H

29、已知VAR DW 1,2,$+2,5,6,若汇编时VAR分配的偏移地址是0010H,汇编后0014H单元的内容是。

A、16H

B、05H

C、14H

D、06H

二、填空题

1、若运算结果为0,则标志寄存器中零标志位ZF= 1 。

2、写出下列存储器地址的段地址,偏移地址和物理地址。

(1)2314:0035 段地址为,偏移地址为,物理地址为。

3、设VAL是在数据段中定义的变量,试指出下列源操作数字段的寻址方式。

(1)MOV AX, 03FH

(2)MOV AX,BX

(3)MOV AX,[BX]

(4)MOV AX,DS:[100H]

(5)MOV AX,ES:[BX]

(6)MOV AX,[BX+10]

(7)MOV AX,VAL[BX]

(8)MOV AX,VAL[BX][SI]

4、DIV SRC 执行字节除法时,运算后的商存放在 AL 中,余数存放在AH中。

5、执行下列执行后,AX寄存器的值为1E00H 。

TABLE DW 10,20,30,40,50

ENTRY DW 3

……….

MOV BX,OFFSET TABLE

ADD BX,ENTRY

MOV AX,[BX]

6、执行下列指令序列后,BL寄存器的值为。

MOV CL,3

MOV BL,0B3H

ROL BL,1

RCR BL,CL

7、设(BX)=0C6H,变量VAL中存放的内容为59H,确定下列各条指令单独执行后,BX寄存器的值。

(1)XOR BX,VAL ;(BX)=

(2)AND BX,VAL ;(BX)=

(3)OR BX,VAL ;(BX)=

8、判断下列指令是否合法。

(1)MOV [SI],[DI] 不合法不允许同为存储器数据

(2)RCR DX,3 不合法移位次数超过1,放到CL

(3)PUSH AL 不合法 16位

(4)MOV CS,AX 不合法,不允许对CS赋值

(5)INC [BX] 不合法,类型不匹配 INC byte ptr[bx]

(6)MOV AX,[DX] 不合法,dx不允许作为间接寻址寄存器

(7)MOV AL,1000 不合法,1000超出8位数据表示的范围

9、汇编语言源程序中表示结束的伪指令是 END 。

10、判断下列指令和伪指令的使用是否正确。

(1)K1 DW 3412H

K2 DB K1 不正确 K1类型应该为DW

(2)K1 EQU 1234H

…………

MOV K1,AX 不正确, K1不分配内存单元

(3)N EQU 2

N EQU N+1 不正确 EQU不允许对同一标号重复赋值

11.已知数据段定义如下:

DATA SEGMENT

ORG 100H

BUF DW 'CD', 1, 3 DUP(4, 2 DUP(8, 6))

VRA DB 4AH

DATA ENDS

单独执行下列语句

LEA BX, BUF BX=__0100H_____

MOV CX, VRA-BUF CX=__0022H_____(用十六进制数表示)。

三、简述题

1、8086系统中,设有一个包含8个字的数据区,数据区的起始地址为2100H:2A10H,写出该数据区首末字单元的物理地址。

23A10H~23A1EH

2、从内存地址34120H,依次存放3个数,它们分别是字数据27H,字节数据0F1H和字数

3、将AL与BX中的两个无符号数相加,结果放入AX。

XOR AH,AH

ADD AX,BX

4、将AL与BX中的两个带符号数相加,结果放入AX。

CBW

ADD AX,BX

5、将AL的高4位与低4位分别放入BH与BL的低4位,且将BH与BL的高4位清0。MOV CH,AL

MOV CL,4

SHR CH,CL

MOV BH,CH

AND AL,0FH

MOV BL,AL

6、用一条逻辑指令将AX寄存器清0。

XOR AX,AX

7、用一条逻辑指令将DX寄存器的高3位为1,其余位不变。

OR DX,0E000H

8、试编写指令序列,将DX:AX中的32位无符号数乘以2,结果仍存放在DX:AX中。SHL AX,1

RCL DX,1

9、定义一个数据段DATASG,并且在该数据段中定义一个有5个字节的字节数组ARRB,其值分别为34H,12H,45H,26H,25H。

DATASG segment

ARRB db 34H,12H,45H,26H,25H

DATASG ends

10、伪指令与指令的本质区别是什么?

伪指令是不能够编译成二进制代码,不能够执行,帮助编译器正确识别指令,编译成二进制代码。

指令语句能够编译成二进制代码,可以执行。

11、编写数据段定义,在段中依次定义以下变量:

V1为字节变量,初值是90H;

V2为字数组,初值依次为2341H,9813H,0A213H;

V3为字节型数组,初值为字符串’Assembly Language’;

V4为字节数组,有5个字节,未定义初值。

Data segment

V1 db 90h

V2 dw 2341H,9813H,0A213H

V3 db ’Assembly Language’

V4 db 5 dup (?)

Data ends

12、设数据段定义如下:

DATA SG SEGMENT

V1 DB 3 DUP(0)

V2 DW 4 DUP(0)

DATASG ENDS

(1)编写指令序列,将1634H存入字节数组V1的第0个字节和第1个字节(可以修改数据段)

Mov bx,offset V1

Mov word ptr[bx],1634H

(2)编写指令序列,将2AH存入字数组V2的第0个字节和第2个字节(可以修改数据段)。

Mov si,offset V2

Mov byte ptr[si],2AH

Mov byte ptr[si+2],2AH

习题二

一、选择题:

1.下列叙述正确的是________。( )

(A) 对两个无符号数进行比较采用CMP指令,对两个有符号数比较用CMPS指令

(B) 对两个无符号数进行比较采用CMPS指令,对两个有符号数比较用CMP指令

(C) 对无符号数条件转移采用JGE/JNL指令,对有符号数条件转移用JAE/JNB指令

(D)对无符号数条件转移采用JAE/JNB指令,对有符号数条件转移用JGE/JNL指令2.已知BX=2000H,SI=1234H,则指令MOV AX,[BX+SI+2]的源操作数在_____中。( )

(A)数据段中偏移量为3236H的字节 (B)附加段中偏移量为3234H的字节

(C)数据段中偏移量为3234H的字节 (D)附加段中偏移量为3236H的字节

4.在下列指令的表示中,不正确的是________。( )

(A) MOV AL,[BX+SI] (B) JMP SHORT DONI

(C) DEC [BX] (D) MUL CL

5.为了使执行串操作指令时,地址按减量方式处理应使用指令_____。( )

(A)CLI (B)STI (C)CLD (D)STD

6.8086 CPU在基址加变址的寻址方式中,变址寄存器可以为______。( )

(A) BX或CX (B) CX或SI (C) DX或SI (D) SI或DI

8. 伪指令VAR DD ? 将在内存预留字节的存储空间。( )

(A) 1 (B) 2 (C) 2 (D) 4

9.下面指令序列执行后完成的运算,正确的算术表达式应是_______。( )

MOV AL,BYTE PTR X

SHL AL,1

DEC AL

MOV BYTE PTR Y,AL

(A) y=x*2+1 (B) x=y*2+1 (C) x=y*2-1 (D) y=x*2-1

10.执行下面的程序段后,AL中的内容为。( )

BUF DW 2152H,3416H,5731H,4684H

MOV BX,OFFSET BUF

MOV AL,2

XLAT

(A) 46H (B) 34H (C) 16H (D) 57H

11.汇编语言源程序每个语句可以由四项组成,如语句要完成一定功能,那么该语句中不可

省略的项是________。

( )

(A)操作项 (B) 名字项 (C) 操作数项 (D) 注释项

12.下列指令执行时出错的是_______。( )

(A) ADD BUF1,BUF2 (B) JMP DWORD PTR DAT[BX]

(C) MOV AX,10H[BX] (D) TEST AL,08H

13.已知(AX)=3456H,执行下述三条指令后,(AX)=_____。( )

MOV BX,AX

NEG BX

ADD AX, BX

(A) 3456H (B) 0EDCCH (C) 6DCCH (D) 0000H

14.将DX的内容乘以2,正确的指令是________。( )

(A) MUL 2 (B) MUL DX,2 (C) SAR DX,1 (D) SHL DX,1

二填空题:

1、DIV SRC 执行字节除法时,运算后的商存放在中,余数存放在中。

2、设(BX)=0D6H,变量VAL中存放的内容为77H,确定下列各条指令单独执行后,BX寄存器的值。

(1)OR BX,VAL ;(BX)=

(2)AND BX,VAL ;(BX)=

3、存放指令有效地址的寄存器是,该寄存器与段寄存器联合使用确定指令的物理地址;存放栈顶有效地址的寄存器是,该寄存器与段寄存器联合使用确定栈顶的物理地址

4、在REPNZ SCASB指令中,重复执行串搜索指令的条件是。

5、指出下列指令中源操作数的寻址方式

(9)MOV BX,[1000H]

MOV AX,[BX+SI+100H]

6、汇编语言源程序中表示结束的伪指令是。

7、在汇编语言中,通常用来存放循环次数的寄存器是。

三、简述题:

1、伪指令与指令的本质区别是什么?

2、编写汇编程序应经历哪些步骤?

3、指出能用于寄存器间接寻址及变址寻址的寄存器有哪些?它们通常与哪个段寄存器配

合形成物理地址?BX SI DI----DS

BP----SS

4、主程序与子程序的关系是什么?在程序中如何使用子程序?

5、简述汇编语言相对高级语言的优点。

四、程序分析题:

A DW 3456H

B DW 1234H

….

PUSH A

PUSH B

POP A

POP B

试回答:(1)上述程序段执行后(A)=____ __,(B)=____ ____。

(2) 设执行前SP=1000H,执行后SP=________________。

2.

分析下面程序段,

MOV AL,100

SAR AL,1

MOV BL,AL

MOV CL,2

SAR AL,CL

ADD AL,BL

试问程序段执行后(BL)=____________(AL)=______________。

3、已知AX=0FF60H,CF=1

MOV DX,16H

XOR DH,0FFH

SBB AX,DX

执行上述指令序列后,AX=_____________________,CF=_____________________

4、执行下列程序段后,回答问题。

ORG 100H

NUM DW 12H

MOV BX,OFFSET NUM

MOV CL,BYTE PTR NUM+1

MOV CH,TYPE NUM

MOV AX,NUM

请问:(AX)=___ 0012H_ __,(BX)=__ 0100H ____,(CX)=__ 0200H ____。

5、

DATA SEGMENT

S9 DB 0,1,2,3,4,5,6,7,8,9

DATA ENDS

LEA SI,S9

LEA DI,S9+1

MOV CX,5

LOP:MOV AL,[SI]

XCHG AL,[DI]

MOV [SI],AL

ADD SI,2

ADD DI,2

LOOP LOP

试说明该程序段的功能,并指出上述程序段运行后,S9开始的10个字节存储单元内容是什么?

1,0,3,2,5,4,7,6,9,8

6、

已知一段程序如下:

DATA SEGMENT

A1 DW 10,20

A2 EQU 100

A3 DB ‘1234’

ORG 2

A4 DW 1234H

DATA ENDS

画出该程序段的内存分配示意图(标明地址),并说明该数据段的,起始偏移地址和最终偏移地址。

五、程序设计题:

1、比较两个无符号数的大小,若A>B,则显示出'A>B',否则显示'B>A'。

2、在数据区中存放着一组数:28,18,38,48,78,58,数的类型为字节,要求用冒泡法

对该数据区中的数据按递减关系排序。

3、编程求1+2+3+…100。将结果存放在RESULT单元中。

4、已知在数据区BUF1和BUF2分别存放'Hello,everybody'

'Hello,everyone’

字节数据。编程检查两数据区中的数据是否相同。若完全一致,则将标志单元FLAG置FFH,否则置0,并将第一次出现的不同数据的地址分别存放在ADDR1和ADDR2单元中。

习题三

一、中断部分习题

(3)用3片8259A级联,最多可管理的中断数是( )

A.24级

B.22级

C.23级

D.21级

(4)从CPU的NMI引脚产生的中断叫做___非屏蔽中断___,他的响应不受__IF__的影响。(6)中断类型码为15H的中断,其服务程序的入口地址一定存放在_00054H~00057H_____四个连续的单元中,若这四个单元的的内容为:66H、50H、88H、30H,则其服务程序的入口地址为_3088H:5066H_______。

(7)设主片8259A的IR3上接有一从片,IR5上引入了一个中断申请。那么初始化时,主、从片的ICW3分别是__主:08H;从:03H_______________。

(9)中断类型码为9H的中断向量一定存放在_____________个连续单元中,若其入口地址为3040H:2010H,则这四个单元中的具体内容依次为___________________________。

(10)在一个8086CPU和单片8259A组成的系统中,试说明:

1、8086CPU在响应可屏蔽中断的条件是什么?

书上有。

2、假如8259A已被编程,ICW2=0AH,若连接在8259A的IR3端的外设提出中断申请,它的中断向量的存放地址是什么?

0002CH~0002FH

3、软件中断和硬件中断的区别?

书上有。

(12)某一中断程序入口地址值填写在中断向量表的0080H —0083H 存储单元中,则该中断对应的中断类型号一定是()

A.1FH

B.20H

C.21H

D.22H

(14)已知中断类型号为18H ,则其中断服务程序的入口地址存放在中断向量表的_________ 中。()

A .0000H ∶00072H ~0000H ∶0075H

B .0000H ∶00072H ~0000H ∶0073H

C .0000H ∶00060H ~0000H ∶0063H

D .0000H ∶00060H ~0000H ∶0061H

二、定时器/计数器部分

(1)试按如下要求分别编写82C54的初始化程序:己知82C54的计数器0、1、2和控制字I/O地址依次为104H、105H、106H、107H。

1、使计数器1工作在方式0,仅用低8位作二进制计数,计数初值为128;

Mov dx,107H

Mov al,01010000b

Out dx,al

Mov dx,105h

Mov al,128

Out dx,al

2、使计数器0工作在方式1,按BCD码计数,计数值为3000;

Mov dx,107H

Mov al,00110011b

Out dx,al

Mov dx,104h

Mov ax,3000H

Out dx,al

Mov al,ah

Out dx,al

3、使计数器2工作在方式2,按二进制计数,计数值为02F0H。

Mov dx,107H

Mov al,10110100b

Out dx,al

Mov dx,106h

Mov ax,02F0H

Out dx,al

Mov al,ah

Out dx,al

(3)8254芯片内包含有__3__个独立的计数通道,它有___6___种工作方式,计数初值为500,BCD码计数方式,OUT1输出为方波,则初始化时该通道的控制字应为____01110111B_________。

三、数据传输部分

(3)在8086 CPU中,总线接口部件(BIU)的功能是______________________________,执行部件(EU)的功能是______________________________。

(4)在8086中,一条指令的物理地址是由______________________________相加得到的。(5)CPU与I∕O设备间传送的信号有( )

A.数据信息

B.控制信息

C.状态信息

D.以上三种都是

(7)若要可编程并行芯片8255A三个端口均作为输入口,并都工作在方式0下,则其方式选择控制字应为__10011011B__________________。

(8)设串行异步通信的数据格式是:1个起始位,7个数据位,1个校验位,1个停止位,若传输率为1200,则每秒钟传输的最大字符数为( )

A.10个

B.110个

C.120个

D.240个

(12)8255A的方式选择控制字为80H,其含义是( )

A.A、B、C口全为输入

B.A口为输出,其他为输入

C.A、B为方式0

D.A、B、C口均为方式0,输出

(13)设8255A接到系统中,端口A、B、C及控制口地址分别为220H、221H、222H及223H,工作在方式0,试编程将端口A的数据输入后,从端口C输出,同时,将从A口输入的数据高4位不变,低4位取反后从端口B输出。

课件上有。

(16)设异步传输时,一帧信息包括1位起始位、7位信息位、1位奇偶校验位和1位停止位,如果波特率为9600bps,则每秒能传输多少个字符?

9600/(1+7+1+1)=960个

(17)CPU执行IN、OUT指令,在硬件上会使__RD,WR_/读写______信号有效。

《汇编语言与接口专业技术》习题解答第三章

《汇编语言与接口技术》习题解答(第三章)

————————————————————————————————作者:————————————————————————————————日期:

问题: 画图说明下列语句分配的存储空间及初始化的数据值 解答: (1)byte_var DB 'ABC',10,10h,'EF',3 DUP(-1,?,3 DUP(4)) (2)word_var DW 10h,-5,3 DUP(?) 习题3.10 ‘A ’‘B ’‘C ’1010h ‘E ’‘F ’-1-444... 41h 42h 43h 0ah10h 45h 46h ffh -04h 04h 04h 3个10h 0FBh FFh ---- --10h -5?? ? 3.16 解: mov ah,1 ;只允许输入小写字母 int 21h sub al,20h ;转换为大写字母 mov dl,al mov ah,2 int 21h ;显示 3.18 解: mov ax, bufX cmp ax, bufY jge done mov ax, bufY done: mov bufZ, ax 3.19 解: .model small .stack .data bufX dw -7 signX db ? .code .startup cmp bufX,0 ;test bufX,80h

jl next ;jnz next mov signX,0 jmp done next: mov signX,-1 done: .exit 0 end 3.20 解: mov dl,’2’ mov ax,bufX cmp ax,bufY je next1 dec dl next1: cmp ax,bufZ je next2 dec dl next2: mov ah,2 int 21h 3.22 编制程序完成12H、45H、0F3H、6AH、20H、0FEH、90H、0C8H、57H和34H 等10个字节数据之和,并将结果存入字节变量SUM中(不考虑溢出和进位)。 ;wjxt322.asm .model small .stack .data b_data db 12h,45h,0f3h,6ah,20h,0feh,90h,0c8h,57h,34h ;原始数据num equ 10 ;数据个数 sum db ? ;预留结果单元 .code .startup xor si, si ;位移量清零 xor al, al ;取第一个数 mov cx, num ;累加次数 again: add al, b_data[si] ;累加 inc si ;指向下一个数 loop again ;如未完,继续累加 mov sum, al ;完了,存结果 .exit 0 end 3.30 解: lucase proc push bx mov bx,offset string cmp al,0 je case0

2位数计算器程序-汇编语言课程设计

信息学院课程设计题目:2位数计算器程序设计 __ 姓名: __ _____ 学号: ____ ___ 班级: 课程:汇编语言 ________ 任课教师:侯艳艳 ____ 2011年12月

课程设计任务书及成绩评定

目录 摘要 (2) 1.设计目的………………………………………………………………………………………………?2 2.概要设计………………………………………………………………………………………………?3 2.1系统总体分析…………………………………………………………………………?3 2.2程序流程图 (3) 3.详细设计......................................................................................................? (4) 3.1主程序及子程序说明 (4) 3.2程序代码编写 (4) 4.程序调试 (6) 4.1运行界面分析 (6) 4.2算法的分析 (6) 4.3调试过程及分析 (6) 5.心得体会 (7) 5.1设计体会...................................................................................................? (7) 5.2系统改进...................................................................................................? (7) 参考文献 (8)

实验四-循环结构汇编语言程序的设计实验报告

循环结构汇编语言程序设计实验报告

实验四循环结构汇编语言程序设计 一、实验目的 1、学习循环结构的汇编语言程序的设计和调试。 2、学习通过直接对8086计算机的寄存器和存的直接访问,编写更高效简洁的汇编程序。 3、加深掌握计算机整体的工作过程。 3、加深对排序算法的理解。 二、实验任务 编写程序求出数组A中(20个元素)的最大值和最小值(数组没有排序)。 要求至少采用二种不同的排序算法来实现。( 快速排序,归并排序、堆排序、Shell排序、插入排序、冒泡排序、交换排序、选择排序、基数排序……) 三、实验容 为了更好地实现老师所布置的实验任务,我们根据情况选取以下两种方式实

验。 1、利用冒泡排序的方式求解数组A中元素的最大值最小值。设计流程图如 下所示: 2、利用选择排序的方式求得数组A中元素的序列。设计流程图如下所示:

四、实验环境 PC机: winXP/win7(32位)/win7(64位)+winxp 虚拟机 汇编工具:Masm.exe+Link.exe。 五、实验步骤 1)建立和生成的文件 (1)编写的源程序,源程序名为abc、扩展名为.asm (2)源程序经汇编程序Masm.exe汇编(翻译)后生成二进制目标程序,文件名为abc.obj (3)目标程序需要经Link.exe连接生成可执行程序,文件名为abc.exe 2)汇编环境 最基本的汇编环境只需要两个文件:Masm.exe和Link.exe。将这两个文件拷入到已经建好的文件夹(例如 huibian)中,并将文件夹huibian放在硬盘根

目录C :\>下 3)上机步骤 进入DOS窗口中执行。 4)调试程序 进入DEBUG后,调试程序 5)调试成功后重新汇编、连接并生成可执行代码 6)执行程序,并对运行结果截图。 利用冒泡排序求得数组A中元素的最大值最小值的实验结果如下图所示:(说明:输入数据为:13,0,59,900,587,1,657,234,34,48) 利用选择排序对数组A中元素排序得到的序列得实验结果如下图所示:(说明:输入数据为13,0,59,900,587,1,657,234,34,48)

《汇编语言与接口技术》答案习题解答(第三章)

解: mov ah,1 ;只允许输入小写字母 int 21h sub al,20h ;转换为大写字母 mov dl,al mov ah,2 int 21h ;显示 解: mov ax, bufX cmp ax, bufY jge done mov ax, bufY done: mov bufZ, ax 解:

.model small .stack .data bufX dw -7 signX db .code .startup cmp bufX,0 ;test bufX,80h jl next ;jnz next mov signX,0 jmp done next: mov signX,-1 done: .exit 0 end 解: mov dl,’2’ mov ax,bufX cmp ax,bufY je next1 dec dl next1: cmp ax,bufZ je next2 dec dl next2: mov ah,2 int 21h

编制程序完成12H、45H、0F3H、6AH、20H、0FEH、90H、0C8H、57H和34H等10个字节数据之和,并将结果存入字节变量SUM中(不考虑溢出和进位)。 ; .model small .stack .data b_data db 12h,45h,0f3h,6ah,20h,0feh,90h,0c8h,57h,34h ;原始数据num equ 10 ;数据个数 sum db ;预留结果单元 .code .startup xor si, si ;位移量清零 xor al, al ;取第一个数 mov cx, num ;累加次数 again: add al, b_data[si] ;累加 inc si ;指向下一个数 loop again ;如未完,继续累加 mov sum, al ;完了,存结果 .exit 0 end 解: lucase proc push bx mov bx,offset string cmp al,0 je case0 cmp al,1

汇编语言课程设计

沈阳大学

2.3 MASM的介绍 MASM是微软公司开发的汇编开发环境,拥有可视化的开发界面,使开发人员不必再使用DOS环境进行汇编的开发,编译速度快,支持80x86汇编以及Win32Asm是Windows下开发汇编的利器。它与windows平台的磨合程度非常好,但是在其他平台上就有所限制,使用MASM的开发人员必须在windows下进行开发,历经二三十年的发展,目前MASM的版本已升至6.15,支持MMX Pentium、Pentium II、Pentium III及Pentium 4等指令系统。 2.4总体设计功能 本次课程设计的内容是采用汇编语言设计一个运行于计算机的“霓虹灯”的模拟显示 程序,由$及*字符相间,从两侧向中间螺旋汇聚直至形成一个矩形,这就要求该霓虹灯能够动态地进行变化;霓虹灯模拟显示程序主要是进行程序循环调用,可以通过CMP、JMP、JZ、RET等命令进行跳转。由于是霓虹灯的模拟显示,因此在进行程序循环调用前需要进行数据段定义,以使子程序在进行调用时能够根据数据段的定义来执行,最后显示结果。 定时器中断处理程序:计数器中断的次数记录在计数单元count中,由于定时中断的引发速率是每秒18.2次,即计数一次为55ms,当count计数值为18时,sec计数单元加一(为1秒)。 视频显示程序设计:一般由DOS 或BIOS调用来完成。有关显示输出的DOS功能调用不多,而BIOS调用的功能很强,主要包括设置显示方式、光标大小和位置、设置调色板号、显示字符、显示图形等。用INT 10H中断即可建立某种显示方式。用DOS功能调用显示技术,把系统功能调用号送至AH,把程序段规定的入口参数,送至指定的寄存器,然后由中断指令INT 21H来实现调用。 键盘扫描程序设计:利用DOS系统功能调用的01号功能,接受从键盘输入的字符到AL寄存器,以及检测键盘状态,有无输入,并检测输入各值。 2.5详细功能设计 2.5.1主程序功能 主程序通过调用各个子程序来实现清屏,改变图形等功能,具体调用过程如图1所示。 沈阳大学

汇编语言程序设计实验报告

微机原理实验报告 实验名称汇编语言程序设计 一、实验目的 1、掌握Lab6000p实验教学系统基本操作; 2、掌握8088/8086汇编语言的基本语法结构; 3、熟悉8088/8086汇编语言程序设计基本方法 二、实验设备 装有emu8086软件的PC机 三、实验内容 1、有一个10字节的数组,其值分别是80H,03H,5AH,FFH, 97H,64H,BBH,7FH,0FH,D8H。编程并显示结果:如果数组是无符号数,求出最大值,并显示; 如果数组是有符号数,求出最大值,并显示。 2、将二进制数500H转换成二-十进制(BCD)码,并显示“500H 的BCD是:” 3、将二-十进制码(BCD)7693转换成ASCII码,并显示“BCD 码7693的ASCII是:” 4、两个长度均为100的内存块,先将内存块1全部写上88H,再 将内存块1的内容移至内存块2。在移动的过程中,显示移动次数1,2 ,3…0AH…64H(16进制-ASCII码并显示子程序) 5、键盘输入一个小写字母(a~z),转换成大写字母 显示:请输入一个小写字母(a~z): 转换后的大写字母是: 6、实现4字节无符号数加法程序,并显示结果,如99223344H + 第1页

99223344H = xxxxxxxxH 四、实验代码及结果 实验代码见代码附录 1.1程序运行结果 图1 无符号最大值结果截图 1.1 程序运行结果

图2 有符号最大值截图2.0 程序运行结果

图3 BCD码显示3.0 程序运行结果

图4 ASCII码显示4.0 程序运行结果

图5 移动次数显示5.0 程序运行结果

汇编程序及接口技术实验报告

微机汇编程序及接口技术实验报告 汇编程序实验: 一、实验目的 1、熟悉汇编程序调试过程 2、掌握算术运算指令运用 3、掌握分支程序的编程和调试方法 二、实验设备 80X86微型计算机 三、实验内容 1、编程并调试显示“Hello Word!”字符串的汇编程序 TITLE HELLO DA TA SEGMENT STR DB'Hello World!$' DA TA ENDS CODE SEGMENT ASSUME DS:DATA,CS:CODE START:MOV AX,DATA MOV DS,AX MOV DX,OFFSET STR MOV AH,9H INT 21H MOV AH,4CH INT 21H CODE ENDS END START

2、A、B、C、D、W是互不相等的在数据段中定义的16位有符号数,并假设加减运算不产生溢出。编写一个完整段定义的汇编语言程序,计算W=(A+B)×(C—D)。 title asmprogram1_1 DA TA SEGMENT A DW 1H B DW 3H C DW 4H D DW 2H W DW 2 DUP(?) DA TA ENDS ; CODE SEGMENT ASSUME DS:DATA,CS:CODE START:MOV AX,DATA MOV DS,AX MOV AX,A ADD AX,B MOV BX,C SUB BX,D IMUL BX MOV W,AX MOV W+2,DX MOV AH,4CH INT 21H CODE ENDS END START

3、设X、Y为在数据段中定义的有符号字变量。编写一个完整段定义的汇编语言程序(包含必要的伪指令,给出必要的注释)完成以下操作:若0

汇编语言课程设计报告——实现加减乘除四则运算的计算器

汇编语言课程设计报告 ( 2011 -- 2012 年度第 2 学期) 实现加减乘除四则运算的计算器 专业 计算机科学与技术 学生姓名 班级 学号 指导教师 完成日期

目录 目录错误!未定义书签。 1 概述错误!未定义书签。 设计目的错误!未定义书签。 设计内容错误!未定义书签。 2 系统需求分析错误!未定义书签。 系统目标错误!未定义书签。 主体功能错误!未定义书签。 开发环境错误!未定义书签。 3 系统概要设计错误!未定义书签。 系统的功能模块划分错误!未定义书签。系统流程图错误!未定义书签。 4系统详细设计错误!未定义书签。 5 测试错误!未定义书签。 测试方案错误!未定义书签。 测试结果错误!未定义书签。 6 小结错误!未定义书签。 参考文献错误!未定义书签。 附录错误!未定义书签。 附录源程序清单错误!未定义书签。

实现加减乘除四则运算的计算器 1 概述 设计目的 本课程设计是在学完教学大纲规定的全部内容、完成所有实践环节的基础上,旨在深化学生学习的汇编语言课程基本知识,进一步掌握汇编语言程序设计方法,提高分析问题、解决问题的综合应用能力。 设计内容 能实现加、减、乘、除的计算;该程序接受的是16进制数;执行时,需要在文件名后直接跟上计算表达式,如在命令提示符下执行结果如下: c:\tasm>js 3+2 5 2 系统需求分析 系统目标 本次汇编语言课程设计的最终目的是要实现一个简单加减乘除四则运算的计算器,要求编写一个程序,每运行一次可执行程序,可以实现数的加减乘除四则运算。比如,十进制数的加减乘除四则运算。我们曾经学习过两个具体数字进行加减法运算,但是对于简单计算器用汇编语言实现难点在于这两个要做运算的数是未知的,是由自己调用中断输入到屏幕上并要用程序存储起来的数,然后才能对这两个数进行运算,而且做的是加法运算、减法运算乘法运算还是除法运算也未可知,为此我们还要判断用户所输入的运算是四则运算中的哪一个运算。此外,运算过程中的进位或是借位,选择用什么样的方式进行输出,如何实现清屏等也是要解决的问题。 主体功能 系统分析主要包括设计的功能分析和系统的流程,功能分析放在首位,每一个软件都要能满足一定的功能才有使用价值。根据功能需求来创建应用程序。 本设计的功能如下: 1、输入2个数,先判断是加减运算还是乘除运算,再进行计算 2、判断符号是否为运算符 3、回车为换行符 4、用十进制或十六进制输出运算结果 开发环境 集成环境 3 系统概要设计 系统的功能模块划分 本题目实现的模块图如图3-1所示

汇编语言知识大全

第一章基础知识: 一.机器码:1.计算机只认识0,1两种状态。而机器码只能由0,1组成。故机器码相当难认,故产生了汇编语言。 2.其中汇编由三类指令形成:汇编指令(有机器码对应),伪指令,其他符号(编译的时候有用)。 每一总CPU都有自己的指令集;注意学习的侧重点。 二.存储器:1.存储单元中数据和指令没任何差别。 2.存储单元:Eg:128个储存单元(0~127)128byte。 线: 1.地址总线:寻址用,参数(宽度)为N根,则可以寻到2^N个内存单元。 据总线:传送数据用,参数为N根,一次可以传送N/8个存储单元。 3.控制总线:cpu对元器件的控制能力。越多控制力越强。 四.内存地址空间:1.由地址总线决定大小。 2.主板:cpu和核心器件(或接口卡)用地址总线,数据总线,控制总 线连接起来。 3.接口卡:由于cpu不能直接控制外设,需通过接口卡间接控制。

4.各类存储器芯片:RAM,BIOS(主板,各芯片)的ROM,接卡槽的 RAM CPU在操控他们的时候,把他们都当作内存来对待,把他们总的看作一个由 若干个存储单元组成的逻辑存储器,即我们所说的内存地址空间。 自己的一点理解:CPU对内存的操作是一样的,但是在cpu,内存,芯片之间的硬件本身所牵扯的线是不同的。所以一些地址的功能是对应一些芯片的。 第二章寄存器 引入:CPU中含有运算器,寄存器,控制器(由内部总线连接)。而寄存器是可以用来指令读写的部件。8086有14个寄存器(都是16位,2个存储空间)。 一.通用寄存器(ax,bx,cx,dx),16位,可以分为高低位 注意1.范围:16位的2^16-1,8位的2^8-1 2.进行数据传送或运算时要注意位数对应,否则会报错 二.字:1. 1个字==2个字节。 2. 在寄存器中的存储:0x高位字节低位字节;单元认定的是低单元 数制,16进制h,2进制b

汇编语言程序设计报告模板总结模板计划模板.docx

《汇编语言》课程设 计报告 专业计算机科学与技术 学生姓名张竹青 班级Z计算机 151 学号1560704132 任课老师王志宏 完成日期2017年12月29日

目录 1 概述 (1) 1.1 设计目的 (1) 1.2 设计内容 (1) 2 系统需求分析 (1) 2.1 系统目标 (1) 2.2 主体功能 (1) 2.3 开发环境 (2) 3 系统概要设计 (2) 3.1 系统的功能模块划分 (2) 3.2 系统流程图 (3) 4 系统详细设计 (4) 4.1 界面设置 (4) 4.2 选择算法设置 (4) 4.3 十进制转换设置 (4) 5 测试 (5) 5.1 测试方案 (5) 5.2 测试结果 (5) 6 小结 (6) 参考文献 (7)

实现加减乘除四则运算的计算器 1 概述 1.1 设计目的 使用汇编语言,通过实现简单计算器的一般功能,如加、减、乘、除的计算来 了解并掌握 DOS系统功能的调试方法,学会画出编程的具体流程图,同时在程序 设 计过程中熟悉各种指令的应用和意义,以及如何进行上机编辑、汇编、连接和调试。 本课程设计也是对课堂上所学的基本理论知识和程序设计方法的巩固和深化, 提高我们的编程思想、分析问题和解决问题的综合应用能力,能够编写较复杂的应 用程序,最终达到熟练地掌握结构化程序设计技术和编写汇编源程序的基本方法的 目的。 1.2 设计内容 设计一个能实现加、减、乘、除计算的程序,要求该程序接受从键盘输入的十 六进制数,当程序执行时需在文件名后直接跟上计算表达式,每当读到一个有效的 表达式时对表达式进行相应的运算后,输出用十进制数或十六进制数表示的运算结果,如在命令提示符下执行结果如下: c:\tasm>js 3+2 5 2系统需求分析 2.1 系统目标 本次汇编语言课程设计的最终目的是要实现一个简单的加减乘除四则运算的 计算器,要求编写一个程序,每运行一次可执行程序,可以实现数的加减乘除四则 运算。具体功能如下: (1)调用中断把要做运算的两个数输入到屏幕上并用程序将他们存储起来 (2)判断用户所输入的运算是四则运算中的哪一种运算 (3)判断运算过程中是进位还是借位 (4)选择用何种输出方式 (5)实现清屏 2.2 主体功能 要求该程序接受的是十六进制数,执行相应的计算后,计算结果以十六进制数 或十进制数输出。本设计的使用说明如下: (1)按照提示选择数字 1,2,3,4 (2) 1: ADD 加法 (3) 2: SUB 减法 (4) 3: MUL 乘法

《汇编语言与接口技术》期末考试试题及答案

第一学期期末考试 汇编语言与接口技术 试卷A 卷 考试方式:闭卷 考试时间:120分钟 卷面总分:100分 一、选择题(本题共20小题,每题2分,共40分) 1. 汇编源程序的后缀名是 ( C ) A 、exe B 、.obj C 、.asm D 、.lib 2. 作为8位有符号数补码,80H 的真值是 ( C ) A 、0 B 、-2 C 、-128 D 、128 3. 8086 CPU 内部能够记录代码段段地址的寄存器是 ( D ) A 、DS B 、IP C 、CX D 、CS 4. 若(AX)=35F0H ,(CX)=00B8H ,当AND AX ,CX 指令执行后,AX 寄存器的值为 ( B ) A 、 1400H B 、 00B0H C 、 0000H D 、 0FFFFH 5. 若(SP)=1000H ,则执行指令PUSH AX 后SP 的值为 ( B ) A 、0FFFH B 、0FFEH C 、1002H D 、1001H 6. Mul BX 指令隐藏操作数的寄存器是 ( D ) A 、BX B 、CX C 、SI D 、AX

7.能够将AL中的1、3、5位取反的指令是 ( C ) A、AND AL , 2AH B、OR AL , 2AH C、XOR AL , 2AH D、TEST AL , 2AH 8.循环指令LOOP结束循环的条件是 ( D ) A、CX≠0 B、ZF=0 C、IF=0 D、CX=0 9.除法指令DIV BL中,被除数在寄存器 ( A ) A、AX B、DX C、DX:AX D、BX 10.输出单个字符的2号DOS功能调用的入口参数是 ( C ) A、AH B、AL C、DL D、DH 11.以下对伪指令的描述正确的是 ( D ) A、伪指令没有操作数 B、伪指令没有目标代码 C、汇编程序中伪指令可有可无 D、伪指令不会被执行 12.某伪指令X=12H中所定义的X是 ( B ) A、变量 B、常量 C、标号 D、段名 13.下面指令序列执行后完成的运算,正确的算术表达式应是( D ) MOV AL,BYTE PTR X SHL AL,1 DEC AL MOV BYTE PTR Y,AL A、Y=X*2+1 B、X=Y*2+1 C、X=Y*2-1 D、Y=X*2-1 14.下面指令结束后目标操作数不变化的是 (D ) A、INC BX B、SUB AX,BX

《汇编语言与接口技术》习题解答(第三章)

3.16 解: mov ah,1 ;只允许输入小写字母 int 21h sub al,20h ;转换为大写字母 mov dl,al mov ah,2 int 21h ;显示 3.18 解: mov ax, bufX cmp ax, bufY jge done mov ax, bufY done: mov bufZ, ax 3.19 解: .model small .stack .data bufX dw -7 signX db ? .code .startup cmp bufX,0 ;test bufX,80h

jl next ;jnz next mov signX,0 jmp done next: mov signX,-1 done: .exit 0 end 3.20 解: mov dl,’2’ mov ax,bufX cmp ax,bufY je next1 dec dl next1: cmp ax,bufZ je next2 dec dl next2: mov ah,2 int 21h 3.22 编制程序完成12H、45H、0F3H、6AH、20H、0FEH、90H、0C8H、57H和34H 等10个字节数据之和,并将结果存入字节变量SUM中(不考虑溢出和进位)。 ;wjxt322.asm .model small .stack .data b_data db 12h,45h,0f3h,6ah,20h,0feh,90h,0c8h,57h,34h ;原始数据num equ 10 ;数据个数 sum db ? ;预留结果单元 .code .startup xor si, si ;位移量清零 xor al, al ;取第一个数 mov cx, num ;累加次数 again: add al, b_data[si] ;累加 inc si ;指向下一个数 loop again ;如未完,继续累加 mov sum, al ;完了,存结果 .exit 0 end 3.30 解: lucase proc push bx mov bx,offset string cmp al,0 je case0

汇编语言-课程设计1

) 汇编语言课程实验报告 实验名称 课程设计1 实验环境 硬件平台:Intel Core i5-3210M 操作系统:DOSBox in Windows 软件工具:Turbo C , Debug, MASM 实验内容 《 将实验7中的Power idea公司的数据按照下图所示的格式在屏幕上显示出来。 实验步骤 1.要完成这个实验,首先我们需要编写三个子程序。第一个子程序是可以显示字符串到屏 幕的程序,其汇编代码如下: ;名称:show_str

;功能:在屏幕的指定位置,用指定颜色,显示一个用0结尾的字符串 ;参数:(dh)=行号,(dl)=列号(取值范围0~80),(cl)=颜色,ds:si:该字符串的首地址 ;返回:显示在屏幕上 ¥ show_str: push ax push cx push dx push es push si push di mov ax,0b800h - mov es,ax mov al,160 mul dh add dl,dl mov dh,0 add ax,dx mov di,ax mov ah,cl . show_str_x: mov cl,ds:[si] mov ch,0 jcxz show_str_f mov al,cl mov es:[di],ax inc si inc di 【 inc di jmp show_str_x show_str_f: pop di pop si pop es pop dx pop cx } pop ax ret 2.第二个程序是将word型数据转换为字符串,这样我们才能调用第一个程序将其打印出

位微机原理汇编语言及接口技术教程课后习题答案

《16/32位微机原理、汇编语言及接口技术教程》 部分习题参考解答 第1章微型计算机系统概述 〔习题〕 什么是通用微处理器、单片机(微控制器)、DSP芯片、嵌入式系统? 〔解答〕 通用微处理器:适合较广的应用领域的微处理器,例如装在PC机、笔记本电脑、工作站、服务器上的微处理器。 单片机:是指通常用于控制领域的微处理器芯片,其内部除CPU外还集成了计算机的其他一些主要部件,只需配上少量的外部电路和设备,就可以构成具体的应用系统。 DSP芯片:称数字信号处理器,也是一种微控制器,其更适合处理高速的数字信号,内部集成有高速乘法器,能够进行快速乘法和加法运算。 嵌入式系统:利用微控制器、数字信号处理器或通用微处理器,结合具体应用构成的控制系统,其典型的特点是把计算机直接嵌入到应用系统之中。 〔习题〕 说明微型计算机系统的硬件组成及各部分作用。 〔解答〕 CPU:CPU也称处理器,是微机的核心。它采用大规模集成电路芯片,芯片内集成了控制器、运算器和若干高速存储单元(即寄存器)。处理器及其支持电路构成了微机系统的控制中心,对系统的各个部件进行统一的协调和控制。 存储器:存储器是存放程序和数据的部件。 外部设备:外部设备是指可与微机进行交互的输入(Input)设备和输出(Output)设备,也称I/O设备。I/O设备通过I/O接口与主机连接。 总线:互连各个部件的共用通道,主要含数据总线、地址总线和控制总线信号。

〔习题〕 什么是总线?微机总线通常有哪3组信号?各组信号的作用是什么? 〔解答〕 总线:传递信息的共用通道,物理上是一组公用导线。 3组信号线:数据总线、地址总线和控制总线。 (1)地址总线:传输将要访问的主存单元或I/O端口的地址信息。 (2)数据总线:传输读写操作的数据信息。 (3)控制总线:协调系统中各部件的操作。 〔习题〕 简答如下概念: (1)计算机字长 (2)取指-译码-执行周期 (3)ROM-BIOS (4)中断 (5)ISA总线 〔解答〕 (1)处理器每个单位时间可以处理的二进制数据位数称计算机字长。 (2)指令的处理过程,即指处理器从主存储器读取指令(简称取指),翻译指令代码的功能(简称译码),然后执行指令所规定的操作(简称执行)的过程。 (3)ROM-BIOS是“基本输入输出系统”,操作系统通过对BIOS的调用驱动各硬件设备,用户也可以在应用程序中调用BIOS中的许多功能。 (4)中断是CPU正常执行程序的流程被某种原因打断、并暂时停止,转向执行事先安排好的一段处理程序,待该处理程序结束后仍返回被中断的指令继续执行的过程。 (5)ISA总线是指IBM PC/AT机上使用的系统总线。 〔习题〕 下列十六进制数表示无符号整数,请转换为十进制形式的真值: (1)FFH (2)0H (3)5EH (4)EFH 〔解答〕 (1)255 (2)0 (3)94 (4)239

(新)汇编语言课程设计四则运算

计算机与信息工程学院《汇编语言》课程设计四则运算器的设计 专业:计算机科学与技术 班级:控制11-2班 姓名: 倪天天 学号:2011025745 指导教师:郝维来 2013年6月28日

摘要 计算器是最简单的计算工具,简单计算器具有加、减、乘、除四项运算功能。想要用汇编语言实现简单的计算器,就必须通过对数据存储,寄存器的使用,加减乘除相关指令以及模块的调用等汇编语言知识进行运用,以实现一个基本功能完善,界面友好,操作简便易行的计算器。用汇编语言实现简单计算器还涉及到输入输出模块的设计,加减乘除运算的判断以及退出程序的判断的设计。通过对各种指令的合理使用,设计各个功能模块。当实现各个程序模块后,通过程序的调用最终实现一个简单的计算器。 关键词:计算器,汇编语言,四则运算,功能模块

Abstract Calculator is the easiest calculation tools, a simple calculator with addition, subtraction, multiplication, division four arithmetic functions. Want to use assembly language to achieve a simple calculator, you must pass on the data storage, register usage, addition, subtraction, and related instructions such as assembly language module calls the use of knowledge in order to achieve a basic functional, user-friendly, easy to operate easy calculator. Using assembly language to achieve a simple calculator also involves the design of input and output modules, the judgment of arithmetic operations and exit the program to judge design. Through the rational use of various commands, design various functional modules. When implementing various program modules, through a call to the ultimate realization of the program a simple calculator. Keyword:Calculator, assembly language, four arithmetic, functional modules

《汇编语言程序设计》学习心得自主学习报告.doc

自主学习报告书 题目:学习汇编语言程序设计报告学习课程:《汇编语言程序设计》姓名: 专业: 学号: 福建工程学院国脉信息学院教务处制 二○一二年六月

学习汇编语言程序设计报告书 由于实际工作中对汇编语言程序设计应用较多,在业余时间我自主学习了北京大学出版社出版的《汇编语言程序设计》一书。这一本书介绍了80x86汇编语言程序设计的方法和技术,共分为两个部分:第一部分介绍80x86cpu的编程结构,汇编语言程序的格式和伪指令,80x86cpu的寻址方式和指令系统;第二部分深入讨论分支程序、循环程序、子程序基本程序设计方法,以及以中断为主的i/o程序设计,其中包括宏指令、多模块连接技术、汇编语言与高级语言的混合编程、dos和bios提供的常用中断调用,以及文件系统等内容。 通过本书,我深入的掌握了汇编语言的编程方法、思路和技巧,并对计算机的底层编程有一定认识;还对计算机底层运行程序的机制及计算机的工作原理有了深入的了解。 在学汇编的过程中,最重要的就是要掌握汇编语言中的指令的一些基本用法。当然要能够真正的了解其中的内涵,这样在实际的编程中也能够像运用高级语言一样灵活的变通。汇编语言作为一种低级程序设计语言,既然是低级所以应该是最底层的,与计算机内部的结构联系应该联系很密切,而且我在学习中也深刻的了解到了这一点。比如说后来学到的寄存器、中断、还要各种寻址方式以及进栈出栈,好多的就是设计到计算机硬件。前面几章都是对计算机内部结构和一些常用的指令以及寻址方式的寻址方式。到后面学到子程序以及宏的作用才真正发现到其实跟高级语言差别不大。以C语言为例,C语言也

是由一个一个的函数组成的。没想到想汇编这样的低级语言也可以这样。在汇编语言的子程序和宏中,我个人更感觉宏的运用更像我们高级语言的子函数,通过定义好的宏,我们在后面直接调用就可以了。尤其是宏带参数的宏跟C语言中带参数的函数真的很像,根据参数的不同调用宏就能得到不同的结果。而汇编中的子程序没有这个传递参数这个功能。在调用子程序的时候要注意各寄存器中的内容。子程序是在程序执行期间由主程序调用的,它只占有它自身大小的一个空间,他不仅是源程序级别简化,形成的目标代码较短;而宏调用则是在汇编期间展开的,每调用依次进把宏定义体展开一次,它是源程序级的简化。因而它占有的存储空间与调用次数有关,调用次数越多则占有的存储空间越大。如果宏调用次数较多的话,则其空间上的开销也是应该考虑的因素 汇编程序给人感觉最烦的就是好多程序的结果不能直接通过运行EXE文件显示出来,而更多的是经过DEBUG单步调试才能看到其内在变化,看是否正确。汇编程序不像其他的高级语言一样需要编译器,而是直接的就能在记事本上编写,然后进行汇编和连接就可以了。学习汇编调试,关键就是要掌握DEBUG的运用。汇编程序把汇编语言翻译成机器语言的过程称为汇编。是一种功能很强的程序设计语言,也是利用计算机所有硬件特性并能直接控制硬件的语言。比机器语言易于读写、调试和修改,同时具有机器语言全部优点。但在编写复杂程序时,相对高级语言代码量较大,而且汇编语言依赖于具体的处理器体系结构,不能通用,因此不能直接在不同处理器体系结构之间移植。

6/3位微机原理、汇编语言及接口技术__钱晓捷_版_课后习题答案639

第一章 1.1解: 五代,详细见书 1.2解: 微型计算机:以大规模、超大规模集成电路为主要部件,以集成了计算机主要部件一一控制器和运算器的微处理器为核心,所构造出的计算机系统。 PC机:PC

《汇编语言与接口技术》复习提纲.

<<汇编语言与接口技术>>(总结复习提纲 第一部分汇编语言及程序设计 一.基本知识 1.汇编语言常用的名词术语 指令代码指令机器指令程序汇编指令汇编语言汇编程序汇编语言源程序 汇编反汇编偏移地址 (有效地址物理地址过程循环标号变量名的属性 2.常用数制二进制八进制十进制十六进制补码的表示 BCD码的表示 3.寄存器:名称种类及应用(32位、16位 4.寻址方式寻址方式种类(32位、16位操作数类型存储器操作数的存放规则 5.源程序的书写格式及正常结束 6.指令系统:操作数搭配的7个规则 7.常用伪指令 一1.Mov Ax , Bx→经过汇编后变成机器代码指令机器代码指令经过反汇编后→Mov Ax, Bx .如何用16位的地址访问期间1M空间 DS*10H + EA→实际地址 段地址有效地址 Data Segment A DB…….

OFFSET A 是相对Data的偏移地址 B Proc ret B Endp .用MACRO/ENDM括起一段程序____宏定义 在用到的地方写上宏名____宏调用 如: A MACRO B Proc ENDM B Endp 汇编时,宏定义体占用内存空间多,运算快. 而CALL节省空间,占用时间多 因为CALL B之前把下一条指令的有效地址入栈,再转去执行B,执行完又把断点出栈,继续执行主程序.所以花时间,速度慢. 2.二进制八进制十进制十六进制的相互转换. 3.寄存器分为三大类:通用寄存器、段寄存器控制寄存器 Flag....... 4. 7种寻址方式:种类;立即数、寄存器寻址不需要访问内存, 变量名是访问内存;熟练掌握常用寻址方式。 一.1. 汇编指令(如下所示 标号: 操作码数据1,数据2;注释

《汇编语言程序设计》实验报告(一)

《汇编语言程序设计》实验报告(一) 姓名学号系别班级 指导教师实验日期 课程名称《汇编语言程序设计》同组实验者 一、实验名称:实验一汇编程序和连接程序的使用 二、实验目的: 1. 熟悉汇编语言的上机环境; 2. 学习EDIT,MASM,LINK程序的使用; 3. 掌握建立、汇编、链接、运行汇编语言程序的过程。 三、实验类型:验证 四、实验材料、工具、或软件 多媒体计算机、WINDOWS XP系统或纯DOS系统、MASM6.11以上版本或汇编语言程序设计实验集成环境。 五、实验要求 1. 编写一程序,要求比较两个字符串STRING1和STRING2所含字符是否相同,若相同则显示‘MATCH’,若不相同则显示‘NO MATCH’。用串比较功能来完成程序所要求的功能。 2.完成课堂例题,并用DEBUG运行,观察结果。 六、试验内容与步骤:(附上必要的调试过程及运行结果截图,可加附页) 试编写一程序,要求比较两个字符串STRING1和STRING2所含字符是否相同,若相同则显示‘MATCH’,若不相同则显示‘NO MATCH’。用串比较功能来完成程序所要求的功能。上机步骤如下: 1、调用https://www.docsj.com/doc/ea153643.html,程序建立汇编语言源程序.ASM 建立好的源文件以EX_1.ASM为文件名存盘 DATA SEGMENT STRING1 DB 'Visual C++ ' STRING2 DB 'Visual Basic' COUNT EQU $-STRING2 MESS1 DB 'MATCH!’,13,10,’$' MESS2 DB 'NO MATCH!’,13,10,’$' DATA ENDS code segment assume cs:code,ds:data,es:data main proc far start: push ds

相关文档
相关文档 最新文档