文档视界 最新最全的文档下载
当前位置:文档视界 › 电压比较器实验报告

电压比较器实验报告

电压比较器实验报告
电压比较器实验报告

洛阳理工学院实验报告

电压比较器实验

实验报告 课程名称:___模拟电子技术实验____________指导老师:_ ___ _成绩:__________________ 实验名称:________实验类型:_EDA___________同组学生姓名:__ __ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一. 实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二. 实验内容 1 .过零电压比较器 2 .单门限电压比较器 3 .滞回电压比较器 4 .窗口电压比较器 5 .三态电压比较器 三.实验原理 比较器的输出结构 集电极开路输出比较器 集电极/发射极开路输出比较器

漏极开路输出比较器 推挽式输出比较器 ● 过零电压比较器电路 : 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出 ;反之,当输入电压 时,输出 。 ● 基本单门限比较器电路 单门限比较器的输入信号V in 接比较器的同相输入端,反相输入端接参考电压V ref (门限电平) 。当输入电压V in >V ref 时,输出为高电平V OH ;当输入电压V in

电压比较器实验报告

85 专业:电气工程卓越 人才 姓名:卢倚平 学号: ________ 验 … 一 二、实验内容 五、思考题及实验心得 一、实验目的 了解电压比较器与运算放大器的性能区别: 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率 的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压in< 输出out = 0L ;反之,当输入电压in N out 时,输出out = OH 。 实验仿真: 课程名称: 电路打电r 技术实於 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验同组 学生姓名: 邓江毅 三、主要仪器设备 四、实验数据记录、处理与分析 一、实验目的 2. 举握电压比较器的结构及特点; 3. 掌握电压比较器电圧传输特性的测试方法: 4. 学习比较器在电路设计中的应用。

不疲器?5(£C1I JS J 时同270.001ms 270.001 ms 0.000s JIf 「反向—] 通道 上 ?4.998 V -4.998 V 0.000 V 通道丿 -17.847V -17.847 V 0.000 V H as 12^1 时基_ 标度:10 msX)iv X轴位移(格):0 通ilA 刻度: 20 VQ2 Y轴位移 (格):0 通ilB ____ 刻度:5 VQiv Y轴位移 (榆:0 L保Q外触发 触发 边沿:SB 0回国] 水 平:0 ~ 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin接比较器的同相输入端,反相输入端接参考电 压Vref (门限电平)。当输入电压Vin>Vref 输出为高电平VOH:当输入电压Vin

通信原理硬件实验报告

通信原理硬件实验 姓名: 班内序号: 学号: 联系方式: 指导老师:刘文京 学院:信息与通信工程学院 北京邮电大学 Beijing University of Post and Telecommunications

目录 实验一:双边带抑制载波调幅(DSB-SC AM)---------------4 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 五、思考题 实验二:具有离散大载波的双边带调幅(AM)--------------12 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 五、思考题 实验三:调频(FM)------------------------------------16 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 五、思考题 实验四:线路码的编码与解码----------------------------20 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 实验五:时钟恢复--------------------------------------23 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 实验六:眼图------------------------------------------25 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 实验七:采样判决--------------------------------------27 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 实验八:二进制通断键控(OOK)-------------------------29 一、实验目的 二、实验系统框图 三、实验步骤

电压比较器实验报告材料

`实验报告 课程名称:电路与电子技术实验指导老师:成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验内容及原理 实验内容 1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形和电压传输特性曲线。 2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3.并绘制输出波形和电压传输特性曲线。

4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形 和电压传输特性曲线。 5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。 6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

北邮通原硬件实验报告(DOC)

2013年通信原理硬件实验报告 学院:信息与通信工程学院 班级:2011211104 姓名: 学号: 班内序号: 组号: 同组人:

目录 实验一:双边带抑制载波调幅(DSB-SC AM) (3) 实验二:具有离散大载波的双边带调幅波(AM) (14) 实验三:调频(FM) (21) 实验六:眼图 (28) 实验七:采样,判决 (31) 实验八:二进制通断键控(OOK) (34) 实验十一:信号星座(选作) (41) 实验十二:低通信号的采样与重建 (45)

实验一双边带抑制载波调幅(DSB-SC AM) 一.实验目的 (1)了解DSB-SC AM信号的产生及相干解调的原理和实现方法。 (2)了解DSB-SC AM的信号波形及振幅频谱的特点,并掌握其测量方法。 (3)了解在发送DSB-SC AM信号加导频分量的条件下,收端用锁相环提取载波的原理及其实现方法。 (4)掌握锁相环的同步带和捕捉带的测量方法,掌握锁相环提取载波的测试方法。 二.实验器材 PC机一台、TIMS实验平台、示波器、导线等。 三.实验原理 1.双边带抑制载波调幅(DSB-SC AM)信号的产生和表达式 图1.1 2.双边带抑制载波调幅信号的解调 基本思路:利用恢复的载波与信号相乘,将频谱搬移到基带,还原出原基带信号。 图1.2 3.DSB-SC AM信号的产生及相干解调原理框图 ()()()()() cos c c c s t m t c t m t A t ω? ==+

图1.3 四.实验内容及结果 1.DSB-SC AM信号的产生 (1)实验步骤: 图1.4 1.按照上图,将音频振荡器输出的模拟音频信号及主振荡器输出的100KHz模

电压比较器实验报告

`实验报告 课程名称: 电路与电子技术实验 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验 同组学生姓名: 邓江毅 一、实验目的 二、实验内容 三、主要仪器设备 四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ① 【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出;反之,当输入电压时,输 出 。 实验仿真: 专业:电气工程卓越人才 姓名: 卢倚平 学号: 3150101215 日期: 4.1 地点: 东3 404

85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref(门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

2位二进制数据比较器实验报告

2位二进制数据比较器实验报告 一 实验目的? 1.熟悉Quartus II 软件的基本操作 2.学习使用Verilog HDL 进行设计输入 3.逐步掌握软件输入、编译、仿真的过程 二 实验说明? 输入信号 输出信号 A1 A0 B1 B0 EQ LG SM 0 0 0 0 1 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 1 0 0 1 0 1 1 0 0 0 1 1 0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 1 1 0 1 0 1 0 1 1 1 0 0 1 0 1 1 1 1 1 逻辑表达式: 三 实验要求? 1、完成2位二进制数据比较器的Verilog HDL 程序代码输入并进行仿真 2、采用结构描述方式和数据流描述方式 3、完成对设计电路的仿真验证 A1 A0 EQ B1 comp_2 LG B0 SM 本次实验是要设计一个2位的二进制数据比较器。该电路应有两个数据输入端口A 、B ,每个端口的数据宽度为2 ,分别设为A0、A1和B0、B1、A0、B0为数据低位, 、B1为数据高位。电路的输出端口分别为EQ (A=B 的输出信号)、LG (A>B 时的输出信号)和SM (A

四、实验过程 1 程序代码 (1) module yangying(A,B,EQ,LG,SM); input [1:0]A,B; output EQ,LG,SM; assign EQ=(A==B)1'b1:1'b0; assign LG=(A>B)1'b1:1'b0; assign SM=(AB) begin EQ<=1'b1; LG<=1'b0; SM<=1'b0; end else begin EQ<=1'b0; LG<=1'b0; SM<=1'b1; end end endmodule 2 仿真结果 五、实验体会 通过2位二进制数据比较器的设计,使我们更加熟悉Quartus 软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握2位二进制数据比较器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。

DSP硬件实验报告

北京邮电大学DSP硬件课程实验报告 姓名: 学号: 班级: 院系: 报告提交日期:

目录 一、实验环境——————————————————————3 二、实验一:常用指令实验 (1)简单指令程序运行实验———————————————3 (2)资料存储实验———————————————————5 (3)I/O实验—————————————————————7 (4)定时器实验————————————————————9 (5)I NT2中断实验——————————————————11 三、实验二:A/D采样实验———————————————13 四、实验三:D/A转换实验———————————————14 五、实验四:有限冲击响应滤波器(FIR)算法实验————15 六、实验总结—————————————————————17 七、参考文献—————————————————————17

一、实验环境 实验采用的是理工达盛开发的EL-DSP-II实验教学系统。在实验室电脑上首先进行DSP实验环境的设置。 环境设置的步骤: 1、在计算机BIOS中将驱动方式设为EPP模式; 2、安装开发器epp驱动; 3、安装CCS软件; 具体的环境设置步骤参照实验教材。 二、实验一:常用指令实验 (一)实验目的 1、熟悉DSP开发系统的连接; 2、了解DSP开发系统的组成和结构和应用系统构成; 3、熟悉常用C54X系列指令的用法(程序寻址,寄存器,I/O口,定时器,中断控制)。 (二)实验设备 计算机,CCS 2.0版软件,DSP仿真器,实验箱。 (三)实验操作方法 1、系统连接; 进行DSP实验之前,先必须连接好仿真器、实验箱及计算机,连接方法如下所示: 在硬件安装完成后,接通仿真器电源或启动计算机,此时,仿真盒上的“红色小灯”应点亮,否则DSP开发系统与计算机连接有问题。 2、运行CCS程序; 先实验箱上电,然后启动CCS,此时仿真器上的“绿色小灯”应点亮,并且CCS正常启动,表明系统连接正常;否则仿真器的连接、JTAG接口或CCS相关设置存在问题,掉电,检查仿真器的连接、JTAG接口连接,或检查CCS相关设置是否正确。成功运行程序后,首先应熟悉CCS的用户接口。学会CCS环境下程序编写、调试、编译、装载,学习如何使用观察窗口等。 3、修改样例程序,尝试DSP其他的指令; 4、填写实验报告; 5、样例程序实验操作说明。 (四)实验步骤与内容 1、简单指令程序运行实验 (1)实验使用资源 实验通过实验箱上的XF指示灯观察程序运行结果。 (2)实验过程

模电实验五 电压比较器实验

实验五电压比较器实验 一、实验目的 熟练掌握用运算放大器构成比较器电路的特点。 学会测试比较器的方法。 二、实验设备 1.TX0833 19电源板(±15v) 2.双踪示波器 3.TX0531 29多功能信号发生器 4.交流毫伏表 5.TX0531 18直流电压表 6.TX0833 04运算放大器实验板 7.TX0533 25双路直流稳压电源 三、实验内容 1.过零电压比较器。 (1)按图5-1联接好过零电压比较器电路。 (2)测量u i未输入信号且悬空时的u O值。 (3)u i输入f=500Hz,幅值为2V的正弦信号,用双踪示波器观测u i、u O的波形,并将其记入表5-1 表5-1 f=500Hz u i=2V (4)改变输入信号u i的幅值,可由双路可调稳压电源提供下面表5-2的一组u i的电平值,测量传输特性曲线,并将其记入表5-2,并将曲线描绘于下面的直角坐标中。 表5-2 *(5)如果a,b端跨接稳压管,或b端对地接稳压管,其传输特性曲线如何?可用示波器观察并记录。此实验参考电路如图5-2

2.任意电平比较器。 u OH = +15V u OL = -15V 按图5-3联接好任意电平的比较器电路。 令u R =2V ,按表5-3,使u i 为表中所列的一组电压数值,测u O 的电压数值,将其记入表5-3 令u R =-2V ,按表5-3,使u i 为表中所列的一组电压数值,测u O 的电压数值,将其记入表5-3 表5-3 (1)按图5-4联接好滞后电压比较器。 (2)按照前面的比较器实验经验,自行构思,并用示器来观测,不难发现滞后电压比较器为一具有上、下门限电平的比较器。这里提供给大家上、下门限值的计算公式,供实验中参考。 当输出电压为u OH 时,同相端的电压为2 12f f OH R f f R R V V V R R R R '=?+?++(上门限)

计算机组成原理 实验一 熟悉实验环境(Quartus Ⅱ) 实验报告

大学实验报告成绩 课程名称计算机组成原理指导教师实验日期 2020 院(系) 计算机学院专业班级实验地点 学生姓名学号同组人 实验项目名称实验一熟悉实验环境 一、实验目的和要求 实验目的: 1. 熟悉 QuartusII 环境; 2. 学习在 QuartusII 中新建项目、文件、编译、仿真。 3. 学习使用组成原理实验箱运行 QuartusII 的项目。 实验要求: 1.学习 Quartus II 软件的使用方法。 2. 熟悉实验箱,掌握EDA设计的原理。 二、实验原理 根据指导书第一章熟悉实验软硬件环境,完成反相器或异或门设计。 三、主要仪器设备 1. 操作系统为WINDOWS的计算机一台; 2. 数字逻辑与计算机组成原理实验箱一台; 四、实验方法与步骤 首先进入 QuartusII软件 1.1.1 建立新项目 QuartusⅡ软件的工作对象是项目,一个项目(Project)是一个系统设计的 总和,包含了所有的子设计文件和设计项目中的所有辅助文件,所以在进行一个 逻辑设计时,首先要指定该设计的项目名称,对于每个新的项目应该建立一个单 独的子目录,以后所有与该项目有关的文件都将存在这个子目录下。

大学实验报告(附页) 1.1.2 建立原理图文件 1. 打开原理图编辑器。在图中,单击“File”菜单→单击 New 选项(快捷键:Ctrl+N),弹出如图所示对话框。在该对话框中,双击“Block Diagram/Schematic File”选项,打开原理图编辑器。 大学实验报告(附页)

2. 添加元件。 (1)在上图中,双击图形编辑窗的空白处,弹出如下图所示窗口。

模电实验报告 九 电压比较器

模电实验报告 实验 集成运放基本应用电压比较器 姓名: 学号: 班级: 院系: 指导老师: 2016年月日星期

目录 实验目的: (2) 实验器件与仪器: (2) 实验原理: (3) 实验内容: (4) 实验:集成运放基本应用电压比较器 实验目的: 1.掌握比较器的电路构成及特点。 2.学会测试比较器的方法。 实验器件与仪器:

实验原理: 电压比较器的功能是比较两个电压的大小。例如,将一个信号电压Ui和另一个参考电压Ur进行比较,在Ui>Ur和Ui0时,Uo为低电平 Ui<0时,Uo为高电平

电压传输特性曲线 2、滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,Ui为信号电压,Ur为参考电压值,输出端的稳压管使输出的高低电平值为±Uz。 电压传输特性曲线 可以看出,当输入电压从低逐渐升高或从高逐渐降低经过0电压时,Uo会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 实验内容: 1.过零比较器

(1)按图接线Vi悬空时测Vo的电压。 实验测得Vi悬空时测Vo的电压为3.8154V。 (2) Vi输入500HZ有效值为1V的正弦波,观察Vi和Vo波形并记录。 (3)改变Vi幅值,观察Vo变化。 增大Vi值测得Vi和Vo波形如下: 当Ui<0时,由于集成运放的输出电压Uo’=+Uom,使稳压管D2工作在稳压状态,所以输出电压Uo=Uz;当Ui>0时,由于集成运放的输出电压Uo’=-Uom,使稳压管D1工作在稳压状态,所以输出电压Uo=-Uz。 2.反相迟滞比较器

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

实验十二 电压比较器

实验十二电压比较器 学院:信息科学与技术学院专业:电子信息工程 姓名:刘晓旭 学号:2011117147

一.实验目的 1.掌握电压比较电路的分析及计算 2.学会测试电压比较器的方法 二.实验仪器 双踪示波器,信号发生器,数字发生器,直流电源 三.预习要求 1.复习电压比较器的工作原理 2.计算图1实验电路的阈值,画出电路的电压传输特性曲线 3.分析各实验电路,画出当输入为正弦波时的输出波形图。 4.根据实验内容自拟实验数据记录表格。 四.实验原理 电压比较器(通常称为比较器)的功能是比较两个电压的大小。例如,将 一个信号电压u i 和另一参考电压U R 进行比较,在u I >U R 和u I 0 时,u o 为低电平 u i < 0 时,u o 为高电平 集成运放输出的高低电平值一般为最大输出正负电压值U 0m 。 图1.过零比较器

2.滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,如图 2 所示。 u i 为信号电压,U R 为参考电压值,输出端的稳压管使输出的高低电平值为±U Z 。可以看出,此电路形成的反馈为正反馈电路。 图2反相滞回电压比较器 电压比较器的特性可以用电路的传输特性来描述,它是指输出电压的关系曲线,如图1(b)为过零比较器的电压传输特性曲线。 可以看出,当输出电压从低逐渐升高或从高逐渐降低讲过0电压时,u o 会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 滞回电压比较器的电压传输特性曲线如图2(b)所示。 曲线表明,当输入电压由低向高变化,经过阈值U TH1时,输出电平由高电平跳变为低电平。 3 221 R R U R U Z TH += 当输入电压从高向低变化经过阈值U TH2时,输出电压由低电平跳变为高电平, 3 222R R U R U Z TH +-= 3.电压比较器的测试 测试过零比较器时,可以用一个低频的正弦信号输入至比较器中,直接用双踪示波器监视输出和输入波形,当输入信号幅度适中时,可以发现输入电压大于0,小于0时,输出的高低电平变化波形,即将正弦波变换成方波。 滞回电压比较器测试时也可以用同样的方法,但是在示波器上读取上下阈值

电压比较器实验报告

实验报告 课程名称:电路与电子技术实验指导老师:成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验内容及原理 实验内容 1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出 波形和电压传输特性曲线。 2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测 量3.并绘制输出波形和电压传输特性曲线。 4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形 和电压传输特性曲线。 5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参 考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。 6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin

电压比较器实验报告

专业:电气工程卓越人 才 `实验报告 课程名称:电路与电子技术实验指导老师:周箭成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 邓江毅 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性 矩形波。常用于测量正弦波的频率相位等。当输入电压V in≤V out时,输出V out=V OL;

反之,当输入电压V in≥V out时,输出V out=V OH。 实验仿真: 85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref (门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

实验仿真 实测实验记录 (未接上拉电阻) (接了上拉电阻) (电压传输特性曲线) (改变比较电压Vref=2.52V) (改变边角电压Vref=-2.52V) (输入方波) (放大) 改变输入正弦波的频率进行测量: (输入正弦波20KHZ) (输入正弦波50Khz) (输入正弦波100KHZ) (输入正弦波500KHZ) 改用运放LM358: (输入正弦波1KHZ)

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

电压比较器实验报告

`实验报告 课程名称: 电路与电子技术实验指导老师: 成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目得二、实验内容 三、主要仪器设备?????四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目得 1.了解电压比较器与运算放大器得性能区别; 2.掌握电压比较器得结构及特点; 3.掌握电压比较器电压传输特性得测试方法; 4.学习比较器在电路设计中得应用。 二、实验内容及原理 实验内容 1。设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。 2。设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3。并绘制输出波形与电压传输特性曲线. 4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。 5。设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形与电压传输特性曲线. 6。设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin〈Vref2时,输出Vout=VOL;Vin<Vref1时,输出Vout=VOH。 实验原理 电压比较器(简称为比较器)就是对输入信号进行鉴幅与比较得集成器件,它可将模拟信号转换成二值信号,即只有高电平与低电平两种状态得离散信号。可用作模拟电路与数字电路得接口,也可用作波形产生与变换电路等。比较器瞧起来像就是开路结构中得运算放大器,但比较器与运算放大器在电气性能参数方面有许多不同之处。运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器得响应速度比运算放大器快,传输延迟时间比运算放大器小,而且不需外加限幅电路就可直接驱动TTL、CMOS等数字集成电路。但在要求不高情况下也可以考虑将某些运算放大器(例如:LM324、LM358、μA741、TL081、OP07、OP27等)当作比较器使用.常见得比较器电路有过零比较器、门限比较器、滞回比较器、窗口比较器与三态比较器等。常用得电压比较器有: LM339、LM393、LM311等. 比较器瞧起来像就是运算放大器得开环应用,运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器与运算放大器之间有许多明显得不同之处.因此只有在特殊得情况下,可将运算放大器当作比较器使用。 运算放大器就是一种为在负反馈条件下工作所设计得电子器件,其设计重点就是保证在负反馈条件下得稳定性,压摆率与最大带宽等.通常运算放大器得开环增益非常高,在开环情况下只能处理输入差分电压

滞回比较器实验报告结论

竭诚为您提供优质文档/双击可除滞回比较器实验报告结论 篇一:电压比较器实验报告 实验九电压比较器 一实验目的 1、掌握比较器的电路构成及特点 2、学会测试比较器的方法二实验仪器 1、双踪示波器; 2、数字万用表三实验原理 1、图9-1所示为一最简单的电压比较器,uR为参考电压,输入电压ui加在反相输入端。图9-1(b)为(a)图比较器的传输特性。 图9-1电压比较器 当ui 当ui>uR时,运放输出低电平,Dz正向导通,输出电压等于稳压管的正向压降uD,即:uo=-uD。 因此,以uR为界,当输入电压ui变化时,输出端反映两种状态。高电位和低电位。2、常用的幅度比较器有过零比较器、具有滞回特性的过零比较器(又称schmitt触发器)、双限

图9-2为简单过零比较器 图9-2过零比较器1)图9-3为具有滞回特性的过零比较器。 过零比较器在实际工作时,如果ui刚好好在过零值附近,则由于零点漂移的存在,uo将会不断由一个极限值转换到另一个极限值,这在控制系统中,对执行机构将是很不利的。为此就需要输出特性具有滞回现象。如图9-3:图9-3有滞回特性的过零比较器从输出端引入一个电阻分压支路到同相输入端,若uo改变状态,u使过零点离开原来位置。当uo为正(记作uD)u ? 点也随着改变点位, ? ? R2 uD,则当uD>u?Rf?R2 后,uo再度回升到uD,于是出现图(b)中所示的滞回特性。-u为回差。改变R2的数值可以改变回差的大小。2)窗口(双限)比较器 ? 与u ?

的差别称 图9-4两个简单比较器组成的窗口比较器 简单的比较器仅能鉴别输入电压ui比参考电压uR高或低的情况,窗口比较电路是由两个比较器组成,如图9-4所示,它能指示出ui值是否处于uR和uR之间。四、实验内容1、过零电压比较器 (1)如图9-5所示在运放系列模块中正确连接电路,打开直流开关,用万用表测量ui悬空时的uo电压。 (2)从ui输入500hz,峰峰值为2V的正弦信号,用双踪示波器观察ui—uo波形。 ? ? 图9-5过零比较器实验结果:(1)ui悬空时uo=6.82V; (2)uimm=2.083Vf=499.8hZ时,uomm=13.8V;ui-uo 波形如下: 2、反相滞回比较器 图9-6反相滞回比较器 (1)如图9-6所示正确连接电路,打开直流开关,调好一个-4.2V~+4.2V可调直流信号源作为ui,用万用表测量出ui由+4.2V~-4.2V时uo值发生跳变时ui的临界值。(2)同上,测出ui由-4.2V~+4.2V时uo值发生跳变时ui的临界值。