文档视界 最新最全的文档下载
当前位置:文档视界 › Socket通信实验报告

Socket通信实验报告

Socket通信实验报告
Socket通信实验报告

通信工程实训报告

通 信 工 程 实 训 班级:通信131 姓名:谢伟强 学号:37 指导老师:吴芳洪军 前言 在NII(国家信息基础设施)的建设中,大容量、高速率的通信网是主干,NII的目标在很大程度上依*通信网实现,因此通信网的发展倍受瞩目。通信网技术的发展,制约着计算机网络的发展,制约着政治、经济、军事、文化等各行各业的发展,及时了解和掌握现代通信网新技术及发展趋势,并将之运用于军事装备的设计和规划中,对于提高军事水平

具有重要意义。 通信工程专业是IT领域的关键学科,移动通信、光纤通信、因特网使人们传递和获得信息达到了前所未有的便捷。本专业本着加强基础、跟踪前沿、注重能力,培养具有扎实的理论基础和开拓创新精神,能够在通信技术、通信系统和通信网络等方面,从事研究、设计、运营、开发的高级专门人才。 作为通信专业的学生,听了如此深刻的讲座使我对未来的工作有了很多的期待,也很庆幸当时对于本专业此工作方向的选择。我感到责任重大,即使是一个点,也还有很多方面值得拓展和探索,想要取得满意的结果和优异的成绩,我们所要做的就是倍加努力,汲取现有的知识,在新的领域开拓新的研究道路,积极探索,永不止步。 目录 1.实训目的 2. 实训要求 3. 光纤的熔接和制作 4. 综合配线柜和接线箱的介绍 5. 测量数据表 6. 总结 实训目的 通信工程是一门实践性很高的课程,其目的是通过实践

的操作来学习补充本专业的知识,能使学生加深理解,巩固课堂教学内容,加深对网络的基本工作原理的理解,并能掌握具体的操作方法,能以通信工程技术的理论来指导实训活动,能提高理论联系实际的的水平。 其目的是通过参观学习,了解各种通信工程网络的基本原理和理论以及基本的概况,增强学生对通信行业的感性认识,培养专业的认知能力,为以后打好基础。 实训要求 1. 在光纤熔接过程中要严格按照步骤要求做 2. 对熔接工具要有认识和操作 3. 学会光纤熔接的操作并熟悉使用这些工具 4. 熔接结束后,整理工具收拾好桌面 5. 参观户外基站要仔细听讲完成操作 6. 测量各项项目并做好记录 7. 记录下参观记录,写好报告和心得体会 光纤熔接和制作 实训目的 一.了解和制作光纤,加强对最新技术的了解和认识 二.学会制作和熔接光纤 实训仪器 光纤若干光纤熔接器剥线器光纤切割刀 实训步骤与过程记录

通信工程专业综合实验报告..

通信工程专业综合实验 实验报告 (移动通信系统和网络协议部分) 姓名: 学号: 班级: 指导教师:

实验一:主被叫实验 一、实验目的 1、掌握移动台主叫正常接续时的信令流程。 2、了解移动台主叫时被叫号码为空号时的信令流程。 3、了解移动台主叫时被叫用户关机或处于忙状态时的信令流程。 4、了解移动台主叫时被叫用户振铃后长时间不接听的信令流程。 5、掌握移动台被叫正常接续时的信令流程。 6、掌握通话结束呼叫释放时的信令流程。 7、了解被叫用户振铃后长时间不接听时移动台被叫的信令流程。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 3、小交换机一台: 三、实验原理 处于开机空闲状态的移动台要建立与另一用户的通信,在用户看来只要输入被叫号码,再按发送键,移动台就开始启动程序直到电话拨通。实际上,移动台和网络要经许多步骤才能将呼叫建立起来。以移动台和移动台进行通信为例,就包括主叫移动台和主叫MSC建立信令链接、主叫MSC通过被叫电话号码对被叫用户进行选路,即寻找被叫所处的MSC、被叫MSC寻呼被叫MS并建立信令连接过程等三个过程。本实验主要是让学生掌握移动通信中移动台主叫时MS和MSC之间的信令过程、以及为了完成通话连接,主叫MSC和被叫MSC之间的信令过程(即七号信令中的部分消息)。 四、实验内容 1、记录正常呼叫的过程中,移动台主叫部分和被叫部分的信令流程 2、记录被叫关机时,移动台主叫部分的信令流程 3、记录被叫振铃后无应答时,移动台主叫部分和被叫部分的信令流程 4、记录被叫号码无效时,移动台主叫的信令流程 5、记录通话结束后,呼叫链路释放的信令流程 五、实验步骤 主叫实验: 1、通过串行口将实验箱和电脑连接,给实验箱上电。将与实验箱相连的电脑上的学生平台程序打开。在主界面上双击“主叫实验”图标,进入此实验界面。 2、点击“初始化”键,看到消息框中出现“初始化”完成。再点击“开机”键,从而使移动台处于开机状态。

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

多媒体通信实验报告

多媒体通信实验 ——点到点的语音视频通信 一.实验要求 (1)发送端能够正确捕获视频、接收端正确显示视频; (2)视频需要选用一种编码方式 (3)可选要求:使用RTP/RTCP监测视频流状态、同时传输音频; 二.实验原理 1 Video for Windows 函数简介 Video for Windows 函数是 Windows 环境下实现实时视频捕获的重要工具,主要包括 vfw.h 头文件和 vfw32.lib 函数库。由于 Video for Windows 函数可以方便地实现视频、音频数据流到 AVI 文件的存储,在 Visual C++ 中也将Video for Windows 函数称为 AVIcap 窗口类函数。通过使用 AVIcap 窗口类函数,可以在应用中方便地集成视频采集功能。 AVIcap 为应用提供了一个访问视频采集硬件简便的、基于消息的界面,并且能够控制视频流数据存储到磁盘的过程。 1.1 AVIcap 窗口类的基本功能 AVIcap 窗口类是完成由视频捕获硬件获取数据,并按照需要的格式进行存储、转换的重要手段,它提供的主要功能包括: 1) 动态地同视频和音频输入器连接或断开; 2) 设置视频捕获速率; 3) 提供设置视频源,视频格式以及是否采用视频压缩的对话框; 4) 设置视频采集的显示模式为 Overlay 或者 Preview 模式; 5) 实时获取每一帧数字视频数据; 6) 将一视频流和音频流捕获并保存到一个 AVI 文件中; 7) 按用户要求捕获某一帧数字的视频数据,并将单帧图象以 DIB 格式的文件保存; 8) 创建、保存、或载入 RGB 格式下的调色板; 9) 将捕获图象和相关的调色板拷贝到剪切板;

通信原理实验四 实验报告 抽样定理与PAM系统实训

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:■验证□综合□设计□创新实验日期:实验成绩:实验四抽样定理与PAM系统实训 一、实验目的 1.熟通过对模拟信号抽样的实验,加深对抽样定理的理解; 2.通过PAM调制实验,使学生能加深理解脉冲幅度调制的特点; 3.通过对电路组成、波形和所测数据的分析,了解PAM调制方式的优缺点。 二、实验原理 1.取样(抽样、采样) (1)取样 取样是把时间连续的模拟信号变换为时间离散信号的过程。 (2)抽样定理 一个频带限制在(0,f H) 内的时间连续信号m(t),如果以≦1/2f H每秒的间隔对它进行等间隔抽样,则m(t)将被所得到的抽 样值完全确定。 (3)取样分类 ①理想取样、自然取样、平顶取样; ②低通取样和带通取样。 2.脉冲振幅调制电路原理(PAM) (1)脉冲幅度调制系统 系统由输入电路、高速电子开关电路、脉冲发生电路、解调滤波电路、功放输出电路等五部分组成。 图 1 脉冲振幅调制电路原理框图 (2)取样电路 取样电路是用4066模拟门电路实现。当取样脉冲为高电位时,

取出信号样值;当取样脉冲为低电位,输出电压为0。 图 2 抽样电路 图 3 低通滤波电路 三、实验步骤 1.函数信号发生器产生2KHz(2V)模拟信号送入SP301,记fs; 2.555电路模块输出抽样脉冲,送入SP304,连接SP304和SP302,记fc; 3.分别观察fc>>2fs,fc=2fs,fc<2fs各点波形; 4.连接SP204 与SP301、SP303H 与SP306、SP305 与TP207,把扬声 器J204开关置到1、2 位置,触发SW201 开关,变化SP302 的输入 时钟信号频率,听辨音乐信号的质量. 四、实验内容及现象 1.测量点波形 图 4 TP301 模拟信号输入 图 5 TP302 抽样时钟波形(555稍有失真) fc=38.8kHz ①fc>>2fs,使fs=5KHz: 图 6 TP303 抽样信号输出1 图7 TP304 模拟信号还原输出1 ②fc=2fs,使fs=20KHz: 图8 TP303 抽样信号输出2 图9 TP304 模拟信号还原输出2 ③fc<2fs,使fs=25KHz: 图10 TP303 抽样信号输出3 图11 TP304 模拟信号还原输出3 2.电路Multisim仿真 图12 PAM调制解调仿真电路 图13 模拟信号输入 图14 抽样脉冲波形 图15 PAM信号 图16 低通滤波器特性 图17 还原波形 更多学习资料请见我的个人主页:

(完整word版)通信工程与概预算实训报告

通信工程与概预算实训报告 ***职业技术学院 实训报告 第至学年第学期 实训名称: 专业班级: 实训周次: 指导教师: 小组成员: ***职业技术学院信息工程系 年月日 前言 通信工程概预算是一门实践性很强的课程,我们从高等职业技术教育的要求出发,对该课程的实践教学进行了卓有成就的改革。实践教学环节的构成紧紧围

绕职业技术教育的特点和培养目标,以培养学生的技术应用能力和职业素质为宗旨,设计具有职业情景的实践教学项目,构建知识与能力交互、渗透、基本技能培养、职业培训和职业技能鉴定逐步递进的实践教学环节。 该课程的实践教学分为三个阶段:第一阶段为基础实训阶段,能根据实际工程,初步掌握查定额的技能:第二阶段是概预算软件操作实训阶段,学会一些软件的安装和启动,以及使用该软件编制各种表格的技能、能设置各种工程的费率技能:第三阶段是通信工程实例制作实训,通过实训培养通信工程概预算编制的实际运用技能。学生在指导老师带领下积极参与这些实践教学活动,因此使他们的发现问题、分析问题和解决问题的能力逐步提高,最终实现学生的零距离上岗的要求。 为提高学生的上岗能力,以社会需求为依归,逐步将职业培训证书,职业技能鉴定和基本技能培养纳入实践教育体系 目录 2前言 3目录

4第一章实训目的 5第二章实训内容 52.1概预算文件的组成 52.1.1编制说明的内容 62.1.2 编制说明举例 62.1.3 相关费率的取定 62.2 概预算表格及填写方法 62.2.1 通信工程概预算编制填表顺序72.3 光纤入户 72.3.1 光纤入户简介 72.3.2 光纤入户推广 82.3.3 光纤入户设备 92.4 电信光纤入户综合布线 92.4.1 内通信设施说明 112.4.2 家庭装修布线方法 15 2.4.3 家庭布线的验收 162.5 通信电缆线路勘测 162.5.1 通信电缆线路工程勘测设计概述162.5.2 工程勘测主要内容 172.5.3 通信电缆线路工程路由勘测172.5.4 通信电缆线路工程设计 172.5.5通信线路工程设计流程 182.5.6 通信电缆线路工程概预算 19第三章通信工程制图与工程量统计193.1通信工程制图的整体要求和统一规定

网络连接性能的测试实验报告

网络连接性能的测试实验报到实验目的:(1)熟悉利用ping命令工具来进行测试 (2)熟悉利用Ipconfig工具来进行测试 (3)熟悉利用网络路由跟踪Tracert进行测试 实验性质:验证性实验 实验器材:计算机(已安装Windows XP) 实验步骤: (1)利用Ping命令工具进行测试 a)检查本机的 TCP/IP 协议安装是否正确 方法:输入Ping 127.0.0.1 结果: 本机的TCP/IP 协议安装正确 b)测试本台计算机上TCP/IP的工作情况。 方法:输入Ping 192.168.1.1(本机的IP地址) 结果: 本机的TCP/IP工作正常 c)用Ping工具测试其他计算机上TCP/IP的工作情况

方法:输入Ping 219.136.19.170(其他计算机上IP地址)结果: 其他计算机上TCP/IP的工作正常 e) 用Ping工具测试和远程计算机的连接情况 方法:输入Ping https://www.docsj.com/doc/d210293045.html, 结果: 本计算机和远程计算机的连接 (2)用Ipconfig工具来进行测试 运行Ipconfig命令 方法:输入Ipconfig/all 结果:

(3)利用网络路由跟踪Tracert进行测试

a)跟踪路由 方法;输入Tracert 192.168.1.1(本计算机网关地址) 结果: b)测试本计算机到所经过的路由数 方法:输入Tracert 结果: 3G 3G(英语 3rd-generation)是第三代移动通讯技术,是指支持高速数据传输的蜂窝移动通讯技术。3G服务能够同时传送声音及数据信息,速率一般在几百kbps以上。3G是指将无线通信和国际互联网等多媒体通信结合的新一代移动通信系统,目前3G存在3种标准:CDMA2000、WCDMA、TD-SCDMA。 3G下行速度峰值理论可达3.6Mbit/s(一说2.8Mbit/s),上行速度峰值也可达384kbit/s。不可能像网上说的每秒2G,当然,下载一部电影也不可能瞬间完成。

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

通信原理综合实验数字频带传输系统的仿真报告解析

课程名称数字通信综合实验 题目数字频带传输系统的仿真 专业电子信息工程 班级 学号 姓名 指导教师 地点 时间:2015年7月04日至2015年7月08日

摘要 此次课程设计主要运用MATLAB集成环境下的Simulink仿真平台对2ASK频带传输系统仿真,并把运行仿真结果输入到显示器,根据显示器结果分析设计的系统性能。在设计中,目的主要是仿真通信系统中频带传输技术中的ASK调制。产生一段随机的二进制非归零码的频带信号,对其进行ASK调制后再加入加性高斯白噪声传输,在接收端对其进行ASK解调以恢复原信号,观察还原是否成功。通过Simulink的仿真功能摸拟到了实际中的2ASK 调制与解调情况。 关键词:Simulink ;高斯白噪声;调制与解调

第1章前言 (4) 1.设计平台 (4) 2. Simulink (5) 第2章通信技术的历史和发展 (7) 2.1通信的概念 (7) 2.2 通信的发展史简介 (9) 2.3通信技术的发展现状和趋势 (9) 第3章2ASK的基本原理 (10) 3.1 2ASK定义 (10) 3.2 2ASK的调制 (11) 3.3 2ASK的解调 (11) 第4章2ASK频带系统设计方案 (12) 4.1仿真系统的调制与解调过程 (12) 4.2 SIMULINK下2ASK系统的设计 (12) 第5章仿真结果分析 (17) 第6章出现的问题及解决方法 (23) 第7章总结 (24) 参考文献 (24)

第1章前言 在现代数字通信系统中,频带传输系统的应用最为突出。将原始的数字基带信号,经过频谱搬移,变换为适合在频带上传输的频带信号,传输这个信号的系统就称为频带传输系统。在频带传输系统中,根据数字信号对载波不同参数的控制,形成不同的频带调制方法。幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波数字形式的调制信号在控制下通断,此时又可称作开关键控法(OOK)。本设计中选择正弦波作为载波,用一个二进制基带信号对载波信号的振幅进行调制,载波数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送,调制后的信号的频带宽度为二进制基带信号宽度的两倍,此制称为二进制振幅键控信号。 数字调制就是对基带数据信号进行变换,实现信号频谱的“搬移”数据的发送端进行搬移的过程称作“调制”,在称作调制器的设备中完成。在数据的接收端,有一个相反的变换被称作“解调”的过程,解调过程在称作解调器的设备中完成。经过调制的后的信号在一个很高的频段上占有一定的带宽,由于所处频段很高,使得其最高频率和最低频率的相对偏差变小(最高频率和最低频率的比值略大于1),这样的信号称为频带信号或射频信号,相应的传输系统称作频带传输系统。 数字频带传输系统或带通信号是现代通信系统的非常重要部分,通过调制来时信号与信道特新相匹配从而达到效果、传输为目的。数字频带传输系统既可用于低速数据信道,而可以用于中、高速数字信道,其应用很广泛,因此研究数字频带传输系统具有非常重要的义。理解和掌握二进制数字调制通信系统的各个关键环节,包括调制、解调、滤波、传输、噪声对通信质量的影响等。在数字信号处理实验课的基础上更加深入的掌握数字滤波器的设计原理及实现方法。是学习者对系统各关键点的信号波形及频谱有深刻的认识。设计或分析一个简单的通信系统,可以进一步理解通信系统的基本组成、模拟通信和数字通信的基础理论、通信系统发射端信号的形成及接收端信号解调的原理、通信系统信号传输质量的检测等方面的相关知识。 1.设计平台 MATLAB是美国MathWorks公司生产的一个为科学和工程计算专门设计的交互式大型

通信工程综合实验报告

通信工程专业综合实验实验报 (计算机网络部分)姓名: 学号: 班级: 指导教师:

实验一路由器基本操作 一、实验内容 1、通过Console 方式对路由器或交换机进行管理操作。 2、完成Telnet 方式对路由器或交换机访问操作。 3、利用tftp server 实现计算机和设备(交换机和路由器)之间的数据备份。 二、实验组网图 三、实验步骤 1用每台PC提供的Console连线和网线,选择一台路由器或者交换机连接好。 2、网线连接时,注意选择正确的接口(区分两种不同的以太网接口)。 3、按照实验指导书完成各项试验内容。 4、完成试验后,备份你试验中形成的配置文件,用U盘考走,用于写试验报告。 四、路由器的配置文件内 容 # version , Release 1809P01 # sysname H3C % # super password level 3 simple test 码为test 明文 # domain default enable system # telnet server enable 更改系统名为H3C % 用户级别切换到level 3 的密% 域名系统默认启用 %telnet 服务启用 #

dar p2p signature-file flash:/ # port-security enable # vlan 1 domain system access-limit disable state active idle-cut disable self-service-url disable 端口安全启用虚拟局域网 1 默认系统配置 user-group system # local-user admin password cipher .]@USE=B,53Q=AQ'MAF4<1!! authorization-attribute level 3 % service-type telnet % local-user test % password cipher =W6JJ'N_LBKQ=A Q'MAF4<1!! % service-type telnet # interface Aux0 用户群系统 本地用户admin 密码显示为密文显示设置权限为level 3 服务方式为远程登录本地用户名改为test 密码显示为密文显示服务方式为远程登录 设置Aux0 async mode flow link-protocol ppp # interface Cellular0/0 配置Cellular0/0 async mode protocol link-protocol ppp # interface Ethernet0/0 配置Ethernet0/0 port link-mode route ip address %ip # 地址为24 interface Serial0/0 link-protocol ppp # interface NULL0 interface Vlan- interface1 ip address # 设置ip 及掩码interface Ethernet0/1 port link-mode bridge

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

通信工程系统仿真实验报告

通信原理课程设计 实验报告 专业:通信工程 届别:07 B班 学号:0715232022 姓名:吴林桂 指导老师:陈东华

数字通信系统设计 一、 实验要求: 信源书记先经过平方根升余弦基带成型滤波,成型滤波器参数自选,再经BPSK ,QPSK 或QAM 调制(调制方式任选),发射信号经AWGN 信道后解调匹配滤波后接收,信道编码可选(不做硬性要求),要求给出基带成型前后的时域波形和眼图,画出接收端匹配滤波后时域型号的波形,并在时间轴标出最佳采样点时刻。对传输系统进行误码率分析。 二、系统框图 三、实验原理: QAM 调制原理:在通信传渝领域中,为了使有限的带宽有更高的信息传输速率,负载更多的用户必须采用先进的调制技术,提高频谱利用率。QAM 就是一种频率利用率很高的调制技术。 t B t A t Y m m 00sin cos )(ωω+= 0≤t ≤Tb 式中 Tb 为码元宽度t 0cos ω为 同相信号或者I 信号; t 0s i n ω 为正交信号或者Q 信号; m m B A ,为分别为载波t 0cos ω,t 0sin ω的离散振幅; m 为 m A 和m B 的电平数,取值1 , 2 , . . . , M 。 m A = Dm*A ;m B = Em*A ; 式中A 是固定的振幅,与信号的平均功率有关,(dm ,em )表示调制信号矢量点在信号空

间上的坐标,有输入数据决定。 m A 和m B 确定QAM 信号在信号空间的坐标点。称这种抑制载波的双边带调制方式为 正交幅度调制。 图3.3.2 正交调幅法原理图 Pav=(A*A/M )*∑(dm*dm+em*em) m=(1,M) QAM 信号的解调可以采用相干解调,其原理图如图3.3.5所示。 图3.3.5 QAM 相干解调原理图 四、设计方案: (1)、生成一个随机二进制信号 (2)、二进制信号经过卷积编码后再产生格雷码映射的星座图 (3)、二进制转换成十进制后的信号 (4)、对该信号进行16-QAM 调制 (5)、通过升余弦脉冲成形滤波器滤波,同时产生传输信号 (6)、增加加性高斯白噪声,通过匹配滤波器对接受的信号滤波 (7)、对该信号进行16-QAM 解调 五、实验内容跟实验结果:

制作收音机实验报告

一、实验目的 1、制作一个收音机。 2、会用Protel 99SE软件设计收音机的原理图和电路板图。 3、会焊接,和原理图电路比较,会测试版图是否有错。 二、实验原理 1、收音机的性能特性 国内首颗采用CMOS工艺的调频收音机芯片;驱动能力强,可直接驱动耳机及放大器;功耗低,比国外最先进数字收单机方案还低价1MS;频率覆盖从 76M-108M的各国调频波段;高度集成度,所需外围器件数大概为零;强大的数 字信号处理技术(DSP),实现自动频率控制和自动增益控制;数字自适应噪声 抑制接受灵敏度高、音质出色、立体声效果优异;支持重低音,可调式电台搜寻、 混音等功能;只需一个32.768K晶体作为参考时钟;支持I2C和SPI数字接口, 可以配合所有多媒体处理芯片;可数字音量控制、线性模拟输出电压。 2、收音机原理图电路 图1 收音机原理图电路 电源指示和滤波接插件

单片机 耳机接口RDA5807SP 图2 Protel中的原理图电路 3、电路板设计 图3 Prtel 中的电路板

三、实验步骤及内容 1、原理图设计 (1) 原理图构成基本要素 原理图的基本要素包括元器件、电器连接和必要的注释。原理图符号是原理图的主体,来源于系统或设计者提供的原理图库,因此在设计原理图之前常 常要先载入原理图。原理图中的电气连接主要包括导线连接、网络标号连接和 端口连接等方式,其中导线连接和网络标号连接最为常见。注释包括元器件序 号、参数以及为了使原理图更易读懂、交流和施工等添加的注释文字,其主要 功能是方便读图、装备调试和交流等工作。 (2)绘制原理图的基本原则 整齐、美观,能清晰、准确地反映设计者的意图,而且能方便读懂。 (3)原理图设计的基本流程 根据图1收音机电路进行新建原理图设计→设置图纸区域的工作参数→载入原理图库→查找元器件→放置元器件、调整元器件位置→原理图布线→补充完 善→校验、调整和修改→打印输出。 (4)绘制原理图符号 在绘制原理图符号之前,要先建立一个原理图库文件,以放置即将绘制的原理图符号,原理图设计文件为vedio.Sch。在本实验中绘制了两个符号, 八管脚单片机MCU和16管脚RDA5807SP。 (5)创建网表文件 绘制完原理图后,就要生成网表文件,执行菜单命令【Design】/【Create Netlist】,执行网络表文件生成命令之后,打开【Netlist Creation】设置对话框, 一般默认网络表文件选项,点击OK。系统将自动生成网络表文件,并打开 网络表文本编辑器。 2、PCB电路板设计 (1)制作元器件的封装 制作元器件的基础知识。元器件外形:元器件安装到电路板上后,在电路板上的投影即为元器件的外形。焊盘:主要用于安装元器件的引脚,并 通过它与电路板上其他的导电图件连接。根据元器件种类的不同,可分为表 贴式焊盘和直插式焊盘。元器件封装的焊盘序号与原理图符号中的引脚序号 具有一一对应的关系,网表标号就是通过焊盘序号和引脚序号来传递的。元 器件封装:是指实际元器件焊接到电路板上时,在电路板上所显示的外形和 焊接位置关系的集合。元器件封装库:是用来放置元器件封装的设计文件, 在Protel 99 SE中其后缀名称为“.Lib”。 在本实验中制作了三个封装,分别是按键anjian.lib,封装形式是

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

基于simulink的综合通信实验报告

湖南科技大学 信息与电气工程学院《课程设计报告》 题目:综合通信系统课程设计 专业:*** 班级:*** 姓名:*** 学号:***

任务书 题目综合通信系统课程设计 时间安排第七学期的第19-20两周 目的: 1、掌握通信系统的基本构成; 2、掌握通信系统工作原理; 3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学生综合分析问题解决问题的能力。 4、为学生的毕业设计和以后的工作打下良好的基础。 要求: 课程设计前,学生必须知道课程设计的目的以及教师所规定的任务及其具体要求,有针对性地进行预习和设计。课程设计时,学生必须遵守实验室纪律,严格考勤登记,服从指导老师和实验室工作人员的安排。课程设计结束后,学生必须向所指导教师提交课程设计报告,且课程设计报告要求字迹清楚,版面整洁,报告内容包括调试过程和结果以及心得体会。 总体方案实现:本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真。Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包,许多工具箱里的模块都被封装成了Simulink模块。MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。使用MATLAB软件,设计通信系统,配置各个通信组成部分的参数,通过仿真可以得到仿真波形,很明显的可以观察到参数不同仿真结果不尽相同。 指导教师评语:

一、设计目的和任务 综合通信系统课程设计是电子信息工程专业和通信工程专业教学的一个实践性与综合性环节,是电子信息工程专业及通信工程专业各门课程的综合以及通信、信息、信号处理等基本理论与实践相结合的部分。主要是为了让学生利用所学的专业理论知识以及实践环节所积累的经验,结合实际的通信系统的各个环节,设计出一个完整综合通信系统,并进一步加深学生对通信系统的深入理解,培养学生设计通信系统的能力,为毕业设计和以后的工作打下良好的基础。 1、设计目的: 1、掌握通信系统的基本构成; 2、掌握通信系统工作原理; 3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学生综合分析问题解决问题的能力。 5、为学生的毕业设计和以后的工作打下良好的基础。 2、设计任务: 1、设计通信系统的各个环节; 2、将上述设计好的各个环节设计成一个综合通信系统。 二、设计工具介绍 本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真。 1、Simulink Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包。它让用户把精力从编程转向模型的构造,经常与其它工具箱一起使用,实际上,许多工具箱里的模块都被封装成了Simulink模块。 2、通信系统工具箱及其功能 2.1 通信系统工具箱概述 MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。通信系统工具箱中包含的模块

RFID通讯技术实验报告

RFID通讯技术试验 专业: 物流工程 班级: 物流1201 学生: 学号: 指导教师:

一.前言 射频识别(RFID)是一种无线通信技术,可以通过无线电讯号识别特定目标并读写相关数据,而无需识别系统与特定目标之间建立机械或者光学接触。 无线电的信号是通过调成无线电频率的电磁场,把数据从附着在物品上的标签上传送出去,以自动辨识与追踪该物品。某些标签在识别时从识别器发出的电磁场中就可以得到能量,并不需要电池;也有标签本身拥有电源,并可以主动发出无线电波(调成无线电频率的电磁场)。标签包含了电子存储的信息,数米之内都可以识别。与条形码不同的是,射频标签不需要处在识别器视线之内,也可以嵌入被追踪物体之内。 许多行业都运用了射频识别技术。将标签附着在一辆正在生产中的汽车,厂方便可以追踪此车在生产线上的进度。仓库可以追踪药品的所在。射频标签也可以附于牲畜与宠物上,方便对牲畜与宠物的积极识别(积极识别意思是防止数只牲畜使用同一个身份)。射频识别的身份识别卡可以使员工得以进入锁住的建筑部分,汽车上的射频应答器也可以用来征收收费路段与停车场的费用。 某些射频标签附在衣物、个人财物上,甚至于植入人体之内。由于这项技术可能会在未经本人许可的情况下读取个人信息,这项技术也会有侵犯个人隐私忧患。 二.实验目的 1. 了解RFID相关知识,了解RFID模块读写IC卡数据的原理与方法(电子钱包试验); 2. 模拟企业生产线上的物料跟踪情况,掌握RFID的应用(企业物流采集跟踪系统演示)。 三.实验原理 1. 利用RFID模块完成自动识别、读取IC卡信息,实现RFID电子钱包的

功能,给IC卡充值、扣款(电子钱包试验); 2.利用4个RFID模块代替4个工位,并与软件系统绑定(添加,删除),由IC卡模拟物料的移动,并对物料在生产线上所经过的工位的记录进行查询,而且可以对物料的当前工位定位。 四.实验设备 《仓库状态数据检测开发系统》试验箱、IC卡、、锂电池、ZigBee通讯模块、RFID阅读器,ID卡、条码扫描器。 五.实验过程 电子钱包试验 (1)先用电源线将试验箱连上电源,打开电源开关,然后打开Contex-A8电源开关,如错误!未找到引用源。所示。 (a)(b) 图 1 连上电源 (2)将RFID模块下方的开关拨至ON位置,给RFID模块上电,LED5灯会红色常亮。 (3)将RFID模块下方的4位拨码开关1234 在编号1、2、3中选择一个拨到上侧,同时保证该选择的编号在ZigBee、IPV6、 Bluetooth下方的拨码开关中没有拨到拨到上侧,否则会起冲突(例 如,RFID模块下方的拨码开关选择1拨到上侧,那么ZigBee、IPV6、

相关文档
相关文档 最新文档