文档视界 最新最全的文档下载
当前位置:文档视界 › 基于simulink仿真实现的2PSK数字带通传输系统 设计报告

基于simulink仿真实现的2PSK数字带通传输系统 设计报告

基于simulink仿真实现的2PSK数字带通传输系统 设计报告
基于simulink仿真实现的2PSK数字带通传输系统 设计报告

基于simulink仿真实现的2PSK数字带通传输系统设计报

通信系统课程设计报告

用simulink仿真实现一个2PSK数字带通传输系统

摘要

Simulink是Mathworks公司推出的基于Matlab平台的著名仿真环境,

Simulink作为一种专业和功能强大且操作简单的仿真工具,目前已被越来越多的

工程技术人员所青睐,它搭建的积木式建模仿真方式既简单又直观,而且已经在各个领域得到了广泛的应用。

本次设计主要是以Simulink为基础平台,对2PSK信号进行仿真。介绍了2PSK

信号,及其调制和解调的基本原理,并运用Simulink搭建仿真电路,分析在混入

噪声及码间串扰的环境下的误码率,以及使用Matlab生成界面。本设计的主要目

的是对Matlab的熟悉和对数字通信理论的更加深化、理解。

关键词:Simulink;2PSK;误码率

目录

1.引

言 ................................................................ - 1 - 2.设计要求 ............................................................ - 1 - 3.设计思

路 ............................................................ - 1 -

3.1 数字调制概述 ...................................................... - 1 -

3.1.1数字调制系统各个环节分析............................................... - 1 -

3.1.2 数字调制的意

义 ........................................................ - 2 - 3.2二进制移相键控(2PSK) ..................................................... - 2 - 3.3 误码率分

析 ................................................................ - 3 - 3.4总体设计思路............................................................... - 3 -

4.设计原理以及方

案 .................................................... - 3 - 5.设计的实现 .......................................................... - 5 - 5.1模拟调制................................................................... - 5 -

5.1.1模型建立............................................................... - 5 -

5.1.2原件的选取及参数设置................................................... - 5 - 5.2数字键控调制............................................................... - 9 -

5.2.1模型建立............................................................... - 9 -

5.2.2原件的选取及参数设置.................................................. - 10 -

11 -5.3不同信噪比下的误码率...................................................... -

6.总

结 .............................................................. - 12 - 7.致谢 .............................................................. - 13 - 8.参考文

献 ........................................................... - 13 -

1.引言

1.引言

基带信号的调制主要分为线性调制和非线性调制,线性调制是指已调信号的频谱结构与原基带信号的频谱结构基本相同,只是占用的频率位置搬移了。而非线性调制则是指它们的结构完全不同不仅仅是频谱搬移,在接收方会出现很多新的频谱分量。在三种基本的调制中,ASK属于线性调制,而FSK和PSK属于非线性调制。已调信号会在接收方通过各种方式通过解调得到,但是由于噪声和码间串扰,总会有一定的失真。所以人们总是在寻找不同的接收方式来降低误码率,其中的接收方式主要有相干接收和非相干接收。在接收方通过载波的相位信号去检测信号的方法称为相干检测,反之若不利用就称为非相干检测,而对于一些特别的调制有特别的解调方式,如过零检测法。

系统的性能好坏取决于传输信号的误码率,而误码率不仅仅与信道、接收方法有关还和发送端采用的调制方式有很大的关系。我们研究的ASK,FSK,PSK等就主要是发送方的调制方式。本文主要对2PSK信号的原理及其相干解调系统性能进行了分析和仿真,这样能让我们对数字调制方式有一个更清楚的认识。

2.设计要求

1)信源:产生二进制随机比特流,数字基带信号任选,例如单极性、双极性数字信号,可以是矩形波、三角波等数字基带信号波形。

2)调制:可以选择简单的二进制数字调制方式,例如二进制振幅键控(2ASK)、二

进制相移键控(2PSK)、二进制频移键控(2FSK),也可以选择其它高效的调制方式,例如多进制数字振幅键控等。

3)信道:假定信道属于加性高斯信道。

4)结果:仿真出该数字传输系统的性能指标,即该系统的误码率,并画出

SNR(信噪比)和误码率的曲线图。

3.设计思路

3.1 数字调制概述

3.1.1数字调制系统各个环节分析

典型的数字通信系统由信源、编码解码、调制解调、信道及信宿等环节构成,其框图如图3.1所示,数字调制是数字通信系统的重要组成部分,数字调制系统的输入端是经编码器编码后适合在信道中传输的基带信号。对数字调制系统进行仿真时,我们并不关心基带信号的码型,因此,我们在仿真的时候可以给数字调制系统直接输入数字基带信号,不用在经过编码器。

- 1 -

用simulink仿真实现一个2PSK数字带通传输系统

图3.1数字调制模型

3.1.2 数字调制的意义

数字调制是指用数字基带信号对载波的某些参量进行控制,使载波的这些参量随基带信号的变化而变化。根据控制的载波参量的不同,数字调制有调幅、调相和调频三种基本形式,并可以派生出多种其他形式。由于传输失真、传输损耗以及保证带内特性的原因,基带信号不适合在各种信道上进行长距离传输。为了进行长途传输,必须对数字信号进行载波调制,将信号频谱搬移到高频处才能在信道中传输。因此,大部分现代通信系统都使用数字调制技术。另外,由于数字通信具有建网灵活,容易采用数字差错控制技术和数字加密,便于集成化,并能够进入综合业务数字网(ISDN网),所以通信系统都有由模拟方式向数字方式过渡的趋势。因此,对数字通信系统的分析与研究越来越重要,数字调制作为数字通信系统的重要部分之一,对它的研究也是有必要的。通过对调制系统的仿真,我们可以更加直观的了解数字调制系统的性能及影响性能的因素,从而便于改进系统,获得更佳的传输性能。

3.2二进制移相键控(2PSK)

数字调制技术的两种方法:?利用模拟调制的方法去实现数字式调制,即把数字调制看成是模拟调制的一个特例,把数字基带信号当做模拟信号的特殊情况处理;?利用数字信号的离散取值特点通过开关键控载波,从而实现数字调制。这种方法通常称为键控法,比如对载波的相位进行键控,便可获得相移键控(PSK)基本的调制方式。

数字调相:如果两个频率相同的载波同时开始振荡,这两个频率同时达到正最大值,同时达到零值,同时达到负最大值,它们应处于"同相"状态;如果其中一个开始得迟了一点,就可能不相同了。如果一个达到正最大值时,另一个达到负最大值,则称为"反相"。一般把信号振荡一次(一周)作为360度。如果一个波比另一个波相差半个周期,我们说两个波的相位差180度,也就是反相。当传输数字信号

时,"1"码控制发0度相位,"0"码控制发180度相位。载波的初始相位就有了移动,也就带上了信息。

相移键控是利用载波的相位变化来传递数字信息,而振幅和频率保持不变。在2PSK中,通常用初始相位0和π分别表示二进制“1”和“0”。

- 2 -

3.设计思路

3.3 误码率分析

在实际通信系统中往往存在噪声,噪声会对判决值产生影响,即会产生误码率,一般假设信道的噪声为高斯白噪声,下面讨论2PSK解调器在高斯白噪声干扰下的误码率:

图3.1 取样值概率密度函数示意图

当P(0)=P(1)时,最佳门限应选在两条曲线的交点处。即从图可看出最佳判决门效应为0.

所以发‘1’错判‘0’概率为:

,

Pfxd0/1,1x,,,,,0

1,,,,Perfcr0/1, (2.1)

2

发‘0’错判‘1’的概率等于发‘1’错判‘0’概率

1PPerfcr1/00/1,,,,,,,,2 (2.2) 根据图2-5及上式可得2PSK相干解调器的误码率公式为

11PerfcrPPerfcre,,,,,,,[01],,,,

22 (2.3)

3.4总体设计思路

本设计数字带通传输系统采用正弦波为载波、伯努利数字信号为基带信号,采用2PSK调制,最后采用相干解调技术恢复基带信号,与原基带信号比较,得到其误码率关系。

4.设计原理以及方案

- 3 -

用simulink仿真实现一个2PSK数字带通传输系统

二进制移相键控信号的调制原理图如图 4.1 所示. 其中图(a)是采用模拟调制的方法产生2PSK信号,图(b)是采用数字键控的方法产生2PSK信号。

图 4.1 2PSK信号的调制原理图

2PSK信号的解调通常都是采用相干解调, 解调器原理图如图 4.2 所示.在相干解调过程中需要用到与接收的2PSK信号同频同相的相干载波。

图 4.2 2PSK信号的解调原理图

2PSK信号相干解调各点时间波形如图4.3所示,当恢复的相干载波产生180?倒相时,解调出的数字基带信号将与发送的数字基带信号正好是相反,解调器输出数字基带信号全部出错。

图 4.3 2PSK信号相干解调各点时间波形

图4.3是2PSK解调器在无噪声情况下能对2PSK信号的正确解调。(a)是收到的2PSK信号;(b)是本地载波提取电路提取的同频同相载波信号;(c)是接收的2PSK 信号与本地载波相乘得到的波形示意图,此波形经过低通滤波器滤波后得到低通信号;(d)是取

- 4 -

4.设计方案及实现

样判决器在位定时信号;(e)是对(d)波形取样,再与门限进行比较,做出相应的判决

得到恢复的信号;需要注意的是判决规则应与调制规则一致。 5.设计的实现

5.1模拟调制

5.1.1模型建立

图5.1.1模拟调制模型

5.1.2原件的选取及参数设置

伯努利二进制随机序列产生器(Bernoulli Binary Generator)参数设置:

图5.1.2伯努利二进制随机序列产生器参数设置伯努利二进制随机数产生器:

幅度为2,周期为3,占0比为1/2。正相载波(Sine Wave Function2)参数设置: - 5 -

用simulink仿真实现一个2PSK数字带通传输系统

图5.1.3载波参数设置

载波:4HZ,幅度+2

设置依据:载波频率本来应该很高,但是为了波形观察方便,故频率设为4HZ。

码型变化器(Unipolar to Bipolar Converter)参数设置

极性为“Positive”

设置依据:采用0变1不变调制。

带通滤波器(Digital Filter Design)参数设置:

- 6 -

5.设计的实现

图5.1.5带通滤波器参数设置带通滤波器参数:带通范围为2~7HZ

设置依据:载波频率为4HZ,而基带号带宽为1HZ,考滤到滤波器的边沿缓降,故设置

为2~7HZ。

低通滤波器(Digital Filter Design1)参数设置:

图5.1.6低通滤波器参数设置

- 7 -

用simulink仿真实现一个2PSK数字带通传输系统

低通滤波器参数:截止频率为1HZ

设置依据:二进制序列的带宽为1HZ,故取1HZ

取样判决器(Sign)参数设置

图5.1.7取样判决器参数设置

取样判决器设置:门限值取为0.5,取样时间为1

设置依据:当大于0.5时输出1,当小于0.5时输出0,能达到在0变1不变的取样规

则下正确解码的目的。

调制波形:

- 8 -

5.设计的实现

图5.1.8调制波形

图中第一个图为载波的波形,第二个图为随机产生的二进制序列,第三个图为通过码型变换器后的波形,最后一个图为调制后的2PSK信号。

解调波形(信噪比为30%):

图5.1.9解调波形

图中第一个图为收到的2PSK波形,第二个图为通过带通滤波器后的波形,第三个图为与同频同向载波相乘后的波形,第四个图为通过低通滤波器后的波形,最后一个图为解调后的二进制序列。

5.2数字键控调制

5.2.1模型建立

图5.2.1键控调制模型

- 9 -

用simulink仿真实现一个2PSK数字带通传输系统

5.2.2原件的选取及参数设置

脉冲序列发生器:

图5.2.2脉冲序列发生器

多路选择器(Switch)参数设置:

图5.2.3多路选择器参数设置

设置依据:当二进制序列大于0时,输出第一路信号;当二进制序列小于0时,输出第二路信号。

反相载波(Sine Wave Function1)参数设置只需将正相载波参数幅值取为负值,其他的参数设置同模拟调制。

调制波形:

- 10 -

5.设计的实现

图5.2.4解调波形

图中第一个图为正相载波的波形,第二个反相载波的波形,第三个图为随机的波形,最后一个图为调制后的2PSK信号。

解调波形(信噪比为70%):

图5.2.5解调波形

图中第一个图为收到的2PSK波形,第二个图为通过带通滤波器后的波形,第三个图为与同频同向载波相乘后的波形,第四个图为通过低通滤波器后的波形,最后一个图为解调后的二进制序列。

5.3不同信噪比下的误码率

采用模拟调制时,不同信噪比下,误码率恒为:

- 11 -

用simulink仿真实现一个2PSK数字带通传输系统

采用数字键控调制时,

信噪比为10%,误码率为0.8182;信噪比为20%,误码率为07273;信噪比为30%及以上时,误码率为0.5455.

得到的信噪比和误码率对应关系曲线为:

图5.3.1关系曲线

6.总结

在老师和同学的帮助下我顺利的完成了这次课程设计,在这次课程设计中,我使用了MATLAB的SIMULINK功能对2PSK系统进行建模仿真及分析,使我对数字调制有了更进一步的认识,也对MATLAB中的SIMULINK有了一定的了解,熟悉了它的一些操作。通过理论指导,从仿真中可以看出,在2PSK调制系统中由于存在信道干扰和码间串扰,会影响调制系统的性能,即存在一定的误码率,误码率与信噪比相关,当信噪比提高时,误码率下降。

对于我来说,收获最大的是方法和能力——那些分析和解决问题的能力。在整个课程设计的过程中,我发现我们在经验方面十分缺乏,空有理论知识,而没有实际的操作经验,有些东西可能与实际脱节。总体来说,我觉得像课程设计这种类型的课程对我们的帮助还是很大的,它需要我们将学过的相关知识系统地联系起来,并运用与实际中,这样从中暴露出自身的不足,以待改进。

本次的课程设计,培养了我综合应用设计课程与其他课程的理论知识相结合、理论联系实际和应用生产实际知识解决工程实际问题的能力。在设计的过程中还培

养了我们的团队精神,同学们共同协作,解决了许多个人无法解决的问题,在今后的学习过程中我们会更加努力和团结。

- 12 -

5.设计的实现

7. 致谢

通过这一阶段的努力,在这次课程设计中,我在学习上和思想上都受益非浅,这除了自身的努力外,与指导老师、同学的指点、支持和鼓励是分不开的。

8.参考文献

[1] 樊昌信等编. 通信原理. 国防工业出版社

- 13 -

Matlab通信系统仿真实验报告

Matlab通信原理仿真 学号: 2142402 姓名:圣斌

实验一Matlab 基本语法与信号系统分析 一、实验目的: 1、掌握MATLAB的基本绘图方法; 2、实现绘制复指数信号的时域波形。 二、实验设备与软件环境: 1、实验设备:计算机 2、软件环境:MATLAB R2009a 三、实验内容: 1、MATLAB为用户提供了结果可视化功能,只要在命令行窗口输入相应的命令,结果就会用图形直接表示出来。 MATLAB程序如下: x = -pi::pi; y1 = sin(x); y2 = cos(x); %准备绘图数据 figure(1); %打开图形窗口 subplot(2,1,1); %确定第一幅图绘图窗口 plot(x,y1); %以x,y1绘图 title('plot(x,y1)'); %为第一幅图取名为’plot(x,y1)’ grid on; %为第一幅图绘制网格线 subplot(2,1,2) %确定第二幅图绘图窗口 plot(x,y2); %以x,y2绘图 xlabel('time'),ylabel('y') %第二幅图横坐标为’time’,纵坐标为’y’运行结果如下图: 2、上例中的图形使用的是默认的颜色和线型,MATLAB中提供了多种颜色和线型,并且可以绘制出脉冲图、误差条形图等多种形式图: MATLAB程序如下: x=-pi:.1:pi; y1=sin (x); y2=cos (x); figure (1); %subplot (2,1,1); plot (x,y1); title ('plot (x,y1)'); grid on %subplot (2,1,2); plot (x,y2);

基于Libero的数字逻辑设计仿真和验证实验报告(实验1)

实验报告 1、基本门电路 一、实验目的 1、熟悉EDA工具的使用;仿真基本门电路。掌握基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。基本门电路的程序烧录及验证。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、掌握Libero软件的使用方法。 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86相应的设计、综合及仿真。 4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个 ....)的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、所有 ..模块及测试平台代码清单 //74HC00代码-与非门 // module HC00(A,B,Y); input [4:1]A,B;

assign Y=~(A&B); endmodule //74HC00测试平台代码 // `timescale 1ns/1ns module testbench(); reg [4:1]a,b; wire [4:1]y; HC00 u1(a,b,y); initial begin a=4'b0000;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1; a=4'b1111;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1; end endmodule //74HC02代码-或非门 // module HC02(A,B,Y);

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

自动控制原理MATLAB仿真实验报告

实验一 MATLAB 及仿真实验(控制系统的时域分析) 一、实验目的 学习利用MATLAB 进行控制系统时域分析,包括典型响应、判断系统稳定性和分析系统的动态特性; 二、预习要点 1、 系统的典型响应有哪些? 2、 如何判断系统稳定性? 3、 系统的动态性能指标有哪些? 三、实验方法 (一) 四种典型响应 1、 阶跃响应: 阶跃响应常用格式: 1、)(sys step ;其中sys 可以为连续系统,也可为离散系统。 2、),(Tn sys step ;表示时间范围0---Tn 。 3、),(T sys step ;表示时间范围向量T 指定。 4、),(T sys step Y =;可详细了解某段时间的输入、输出情况。 2、 脉冲响应: 脉冲函数在数学上的精确定义:0 ,0)(1)(0 ?==?∞ t x f dx x f 其拉氏变换为:) ()()()(1)(s G s f s G s Y s f === 所以脉冲响应即为传函的反拉氏变换。 脉冲响应函数常用格式: ① )(sys impulse ; ② ); ,();,(T sys impulse Tn sys impulse ③ ),(T sys impulse Y = (二) 分析系统稳定性 有以下三种方法: 1、 利用pzmap 绘制连续系统的零极点图; 2、 利用tf2zp 求出系统零极点; 3、 利用roots 求分母多项式的根来确定系统的极点 (三) 系统的动态特性分析 Matlab 提供了求取连续系统的单位阶跃响应函数step 、单位脉冲响应函数impulse 、零输入响应函数initial 以及任意输入下的仿真函数lsim.

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

MATLAB Simulink系统建模与仿真 实验报告

MATLAB/Simulink 电力系统建模与仿真 实验报告 姓名:****** 专业:电气工程及其自动化 班级:******************* 学号:*******************

实验一无穷大功率电源供电系统三相短路仿真 1.1 无穷大功率电源供电系统仿真模型构建 运行MATLAB软件,点击Simulink模型构建,根据电路原理图,添加下列模块: (1)无穷大功率电源模块(Three-phase source) (2)三相并联RLC负荷模块(Three-Phase Parallel RLC Load) (3)三相串联RLC支路模块(Three-Phase Series RLC Branch) (4)三相双绕组变压器模块(Three-Phase Transformer (Two Windings)) (5)三相电压电流测量模块(Three-Phase V-I Measurement) (6)三相故障设置模块(Three-Phase Fault) (7)示波器模块(Scope) (8)电力系统图形用户界面(Powergui) 按电路原理图连接线路得到仿真图如下: 1.2 无穷大功率电源供电系统仿真参数设置 1.2.1 电源模块 设置三相电压110kV,相角0°,频率50Hz,接线方式为中性点接地的Y形接法,电源电阻0.00529Ω,电源电感0.000140H,参数设置如下图:

1.2.2 变压器模块 变压器模块参数采用标幺值设置,功率20MVA,频率50Hz,一次测采用Y型连接,一次测电压110kV,二次侧采用Y型连接,二次侧电压11kV,经过标幺值折算后的绕组电阻为0.0033,绕组漏感为0.052,励磁电阻为909.09,励磁电感为106.3,参数设置如下图: 1.2.3 输电线路模块 根据给定参数计算输电线路参数为:电阻8.5Ω,电感0.064L,参数设置如下图: 1.2.4 三相电压电流测量模块 此模块将在变压器低压侧测量得到的电压、电流信号转变成Simulink信号,相当于电压、电流互感器的作用,勾选“使用标签(Use a label)”以便于示波器观察波形,设置电压标签“Vabc”,电流标签“Iabc”,参数设置如下图:

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

simulink仿真实验报告

电机与拖动控制实验及其MATLAB仿真: 《电机与拖动控制实验及其MATLAB仿真》是2014年11月18日清华大学出版社出版的图书,作者是曹永娟。 内容简介: 本书分上、下两篇。上篇为电机与拖动控制实验教程,针对MCL 系列电机实验教学系统进行介绍,包括变压器、同步电机、异步电机、直流电机以及直流调速系统、交流调速系统拖动控制实验内容。 目录: 上篇电机与拖动控制实验 第1章电机实验装置和基本要求 1.1MCLⅡ型电机教学实验台 1.2实验装置和挂件箱的使用 1.2.1MCLⅡ型电机实验装置交流及直流电源操作说明 1.2.2仪表的使用 1.2.3挂件箱的使用 1.2.4交直流电机的使用 1.2.5导轨、测速发电机及转速计的使用 第2章电机与拖动控制实验基本要求和安全操作规程 2.1实验基本要求 2.2实验前的准备 2.3实验的进行 2.4实验报告

2.5实验安全操作规程 第3章变压器实验 3.1单相变压器 3.1.1实验目的 3.1.2预习要点 3.1.3实验项目 3.1.4实验设备及仪器 3.1.5实验方法 3.1.6实验报告 3.2三相变压器 3.2.1实验目的 3.2.2预习要点 3.2.3实验项目 3.2.4实验设备及仪器 3.2.5实验方法 3.2.6实验报告 3.3三相变压器的连接组和不对称短路3.3.1实验目的 3.3.2预习要点 3.3.3实验项目 3.3.4实验设备及仪器 3.3.5实验方法

3.3.6实验报告 3.3.7附录 3.4三相变压器的并联运行3. 4.1实验目的 3.4.2预习要点 3.4.3实验项目 3.4.4实验设备及仪器 3.4.5实验方法 3.4.6实验报告 第4章同步电机实验 4.1三相同步发电机的运行特性4.1.1实验目的 4.1.2预习要点 4.1.3实验项目 4.1.4实验设备及仪器 4.1.5实验方法 4.1.6实验报告 4.1.7思考题 4.2三相同步发电机的并联运行4.2.1实验目的 4.2.2预习要点 4.2.3实验项目

数字逻辑实验报告(数字时钟设计)

数字逻辑实验报告

实验三、综合实验电路 一、实验目的: 通过一个综合性实验项目的设计与实现,进一步加深理论教学与实验软硬件平台的实践训练,为设计性实验做好充分准备。 二、实验原理: 根据要求的简单设计性的电路设计实验,应用基本器件与MSI按照电路设计步骤搭建出初级电路;设计型、综合型的较复杂实验电路 三、实验设备与器件: 主机与实验箱 四、实验内容: (1)实验任务:根据所学习的器件,按照电路开发步骤搭建一个时钟, 要求实现的基本功能有计时功能、校对时间功能、整点报时、秒表等功能。 (2)实验任务分析:完成该数字时钟,采用同步时序电路,对于计时 的的功能,由于时间的秒分时的进位分别是60、60、24,所以可以应用74LS163计数器分别设计2个模60计数器以及一个模24计数器,那么需要有7个秒输出,7个分输出,6个小时的输出;对于校对时间的功能,由74LS163的特性可知,当该器件处于工作状态时,每来一个CLK脉冲,计数值加1,所以可以手动控制给CLK脉冲,来进行时间的校对;对于整点报时功能,可以采用一个比较电路,当时间的分秒数值全部为零时,那么此时可以接通报时装置,可以在电路中设置报时的的时间;对于秒表功能,有两种方案,可以单独重新设计一个秒表装置,采用模100计数器以及两个模60计数器,可以进行优化,使用原先的两个模60计数器,这样可以简化电路,是电路简洁。 (3)实验设计流程:

(4)输入输出表: (5)各个功能模块的实现: A、计时功能模块的实现(电路图及说明)秒表部分及说明

说明:该部分是实现功能正常计时中的秒部分的计时工作。如图所示,图中采用两个74LS163来做一个模60计时器,计数的起止范围是0~59,(第一个74LS163采用模10计数,起止为0~9,第二个74LS163的计数起止范围是0~5),两个器件采用级联方式,用预置位方法实现跳转;该部分有7个秒输出,接到BCD译码显示器。 注解:第一个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平VCC 第二个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平第一个163的预置位段 分钟部分以及说明:

通信原理第六版(樊昌信)第7章 数字带通传输系统

通信原理 第7章数字带通传输系统 ● 概述 ? 数字调制:把数字基带信号变换为数字带通信号(已调信号)的过程。 ? 数字带通传输系统:通常把包括调制和解调过程的数字传输系统。 ? 数字调制技术有两种方法: ◆ 利用模拟调制的方法去实现数字式调制; ◆ 通过开关键控载波,通常称为键控法。 ◆ 基本键控方式:振幅键控、频移键控、相移键控 ? 数字调制可分为二进制调制和多进制调制。 ● 7.1 二进制数字调制原理 ? 7.1.1 二进制振幅键控(2ASK ) ◆ 基本原理: ? “ 通- 断键控(OOK)” 信号表达式 ? 波形 振幅键控 频移键控 相移键控 ?? ?-=”时 发送“以概率, ”时发送“以概率0P 101P t, Acos )(c OOK ωt e 1 1 () s t 载波 2ASK

◆ 2ASK 信号的一般表达式 其中 T s - 码元持续时间; g (t ) - 持续时间为T s 的基带脉冲波形,通常假设是高 度为1 ,宽度等于T s 的矩形脉冲; a n - 第N 个符号的电平取值,若取 则相应的2ASK 信号就是OOK 信号。 ◆ 2ASK 信号产生方法 ? 模拟调制法(相乘器法) ? 键控法 ◆ 2ASK 信号解调方法 ? ? 相干解调( 同步检测法) ()t t s t e c ωcos )(2ASK =∑-=n s n nT t g a t s ) ()(? ? ?-=P P a n 1,0,1概率为概率为) ) 开关电路 2e 2e

? 非相干解调过程的时间波形 ◆ 功率谱密度 2ASK 信号可以表示成 式中 s (t ) -二进制单极性随机矩形脉冲序列 设:P s (f ) - s (t ) 的功率谱密度 P 2ASK (f ) - 2ASK 信号的功率谱密度 则由上式可得 由上式可见,2ASK 信号的功率谱是基带信号功率谱P s (f ) 的线性搬移(属线性调制)。 知道了P s (f ) 即可确定P 2ASK (f ) 。 由6.1.2节知,单极性的随机脉冲序列功率谱的一般表达式为 式中 f s = 1/T s G (f ) - 单个基带信号码元g (t )的频谱函数。 对于全占空矩形脉冲序列,根据矩形波形g (t )的频谱特点,对于所有的m 0的整数,有 ,故上式可简化为 将其代入 1 01 0t t 11 00a b c d t t ()t t s t e c ωcos )(2ASK =[])()(4 1 )(2ASK c s c s f f P f f P f P -++= ∑ ∞ -∞ =--+ -=m s s s s s mf f mf G P f f G P P f f P ) ()()1()()1()(2 2 δ0)()(==πn Sa T mf G S S ()) ()0()1()()1(2 222 f G P f f G P P f f P s s s δ-+-=[] )()(4 1 )(2ASK c s c s f f P f f P f P -++=

MATLAB仿真实验报告

MATLA仿真实验报告 学院:计算机与信息学院 课程:—随机信号分析 姓名: 学号: 班级: 指导老师: 实验一

题目:编写一个产生均值为1,方差为4的高斯随机分布函数程序, 求最大值,最小值,均值和方差,并于理论值比较。 解:具体的文件如下,相应的绘图结果如下图所示 G仁random( 'Normal' ,0,4,1,1024); y=max(G1) x=mi n(G1) m=mea n(G1) d=var(G1) plot(G1);

实验二 题目:编写一个产生协方差函数为CC)=4e":的平稳高斯过程的程序,产生样本函数。估计所产生样本的时间自相关函数和功率谱密度,并求统计自相关函数和功率谱密度,最后将结果与理论值比较。 解:具体的文件如下,相应的绘图结果如下图所示。 N=10000; Ts=0.001; sigma=2; beta=2; a=exp(-beta*Ts); b=sigma*sqrt(1-a*a); w=normrnd(0,1,[1,N]); x=zeros(1,N); x(1)=sigma*w(1); for i=2:N x(i)=a*x(i-1)+b*w(i); end %polt(x); Rxx=xcorr(x0)/N; m=[-N+1:N-1]; Rxx0=(sigma A2)*exp(-beta*abs(m*Ts)); y=filter(b,a,x) plot(m*Ts,RxxO, 'b.' ,m*Ts,Rxx, 'r');

periodogram(y,[],N,1/Ts); 文件旧硯化)插入(1〕 ZMCD 克闻〔D ]窗口曲) Frequency (Hz) 50 100 150 200 250 300 350 400 450 500 NH---.HP)&UO 二 balj/ 」- □歹

数字逻辑设计实验报告-实验13教材

浙江大学城市学院实验报告 课程名称 数字逻辑设计实验 实验项目名称 实验十二 数据选择器应用 学生姓名 专业班级 学号 实验成绩 指导老师(签名 ) 日期 注意: ● 务请保存好各自的源代码,已备后用。 ● 完成本实验后,将实验项目文件和实验报告,压缩为rar 文件,上传ftp 。如没有个人 文件夹,请按学号_姓名格式建立。 ftp://wujzupload:123456@10.66.28.222:2007/upload ● 文件名为:学号_日期_实验XX ,如30801001_20100305_实验0 1 一. 实验目的和要求 1. 掌握数据选择器的逻辑功能和使用方法。 2. 学习用数据选择器构成组合逻辑电路的方法。 二. 实验内容、原理及实验结果与分析 1、用74LS151实现逻辑函数 要求实现BC A AC C B A Y ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。 计算得到m0=m7=0,m2=m4=m5=m6=1,m1=m3=D (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(3)组合输出信号 (4)配置管脚 (5)下载到FPGA

(6) 74LS151的输入端接逻辑电平输出(拨位开关),输出端Z 接逻辑电 平显示(发光二极管)。逐项测试电路的逻辑功能,记录测试结果。 2、用74LS151实现逻辑函数 要求实现逻辑函数C B CD A B A F ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。芯片插法,电源、地线接法与实验内容1相同,这里只需要自己实现逻辑函数,然后连线实现其功能。 (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

第七章 数字带通传输系统总结

第七章 总结 1.时域波形及表达式(会画时域波形、调制规则的确立) 2ASK 、2FSK 、2PSK (倒π现象) 2.调制与解调方法(会画调制解调模型) 2ASK :键控法、模拟幅度调制方法;相干解调、非相干解调(包络检波),门限效应问题 2FSK :键控法、模拟频率调制方法;过零检测法、分路相干或非相干解调 2PSK :键控法、模拟方法、码变换+ PSK 调制产生2DPSK ;极性比较法(相干解调)、差分相干解调2DPSK 3.频谱特性(频域表达式、频谱图) 2ASK :功率谱由连续谱与载波处的离散谱构成; 带宽 B A = 2B S = 2f S (Hz); 频带利用率 )/(5.021Hz B f f B T s s A s ===η2FSK :功率谱由连续谱与离散谱构成,离散谱出现在两个载频(f1、f2)位置上; 连续谱谱结构:| f1-f2 | < fs 单峰,| f2-f1 | > fs 双峰 B 2FSK = | f2-f1 | + 2fs 较宽。 频带利用率 )/(5.02121Hz B f f f f B T s s F s <+?==η2PSK :一般情况下,2PSK 的功率谱与2ASK 的功率谱相同(仅差系数)即:含连续谱与离散谱。 B 2PSK =B 2ASK =2BS 当0、1等概时(P=1/2),无离散谱。 4.抗噪声性能 数字解调性能分析过程:(各种解调模型的绘画)

1)确定合成信号y(t) 2)确定解调输出V(t) 3)确定样值的分布 4)确定判决准则(与调制规则相对应) 5)误码分析,确定最佳门限,求出输出误码率 解调方式 误码性能 相干OOK ????????421r erfc 非相干OOK 421r e ? 相干2FSK ????????221r erfc 非相干2FSK 221r e ? 相干2PSK ()r erfc 21 相干2DPSK erfc 差分相干2DPSK r e ?21

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

实验报告五SIMULINK仿真实验

实验五SIMULINK仿真实验 一、实验目的 考察连续时间系统的采样控制中,零阶保持器的作用与采样时间间隔对Ts 对系统稳定性的影响 二、实验步骤 开机执行程序,用鼠标双击图标,进入MA TLAB命令窗口:Command Windows在Command Windows窗口中输入:simulink,进入仿真界面,并新建Model文件在Model界面中构造连续时间系统的结构图。作时域仿真并确定系统时域性能指标。 图(6-1) 带零阶保持器的采样控制系统如下图所示。作时域仿真,调整采样间隔时间Ts,观察对系统稳定性的影响。 图(6-2) 参考输入量(给定值)作用时,系统连接如图(6-1)所示: 图(6-3) 三、实验要求 (1)按照结构图程序设计好模型图,完成时域仿真的结构图 (2)认真做好时域仿真记录 (3)参考实验图,建立所示如图(6-1)、图(6-2)、图(6-3)的实验原理图; (4)将鼠标移到原理图中的PID模块进行双击,出现参数设定对话框,将PID 控制器的积分增益和微分增益改为0,使其具有比例调节功能,对系统进行纯比例控制。

1. 单击工具栏中的图标,开始仿真,观测系统的响应曲线,分析系统性 能;调整比例增益,观察响应曲线的变化,分析系统性能的变化。 2. 重复步骤2-3,将控制器的功能改为比例微分控制,观测系统的响应曲线, 分析比例微分控制的作用。 3. 重复步骤2-3,将控制器的功能改为比例积分控制,观测系统的响应曲线, 分析比例积分控制的作用。 4. 重复步骤2-3,将控制器的功能改为比例积分微分控制,观测系统的响应曲 线,分析比例积分微分控制的作用。 5. 参照实验一的步骤,绘出如图(6-2)所示的方块图; 6. 将PID控制器的积分增益和微分增益改为0,对系统进行纯比例控制。不断 修改比例增益,使系统输出的过渡过程曲线的衰减比n=4,记下此时的比例增益值。 7. 修改比例增益,使系统输出的过渡过程曲线的衰减比n=2,记下此时的比例 增益值。 8. 修改比例增益,使系统输出呈临界振荡波形,记下此时的比例增益值。 9. 将PID控制器的比例、积分增益进行修改,对系统进行比例积分控制。不断 修改比例、积分增益,使系统输出的过渡过程曲线的衰减比n=2,4,10,记下此时比例和积分增益。 10、将PID控制器的比例, 积分, 微分增益进行修改,对系统进行比例、积分、 微分控制。不断修改比例、积分、微分增益,使系统输出的过渡过程曲线的衰减比n=2、4、10记下此时的比例、积分、微分增益值。 四、实验报告要求 (1)叙述零阶保持器的作用 (2)讨论采样时间间隔Ts对系统的影响。 (3)写出完整实验报告 附:step模块在sources库中 sum模块在math operations库中 scope模块在sinks库中 transfer fcn模块在continuous库中 zero-order hold模块在discrete库中

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

Simulink实验报告

实验一:AM 信号的调制与解调 实验目的:1.了解模拟通信系统的仿真原理。 2.AM 信号是如何进行调制与解调的。 实验原理: 1.调制原理:AM 调制是用调制信号去控制高频正弦载波的幅度,使其按调制信号的规律变化的过程,就是按原始电信号的变化规律去改变载波某些参量的过程。 + m(t) S AM (t)A 0 cos ωc t AM 信号的时域和频域的表达式分别为: ()()[]()()()()t t m t A t t m A t S C C C AM ωωωcos cos cos 00+=+= 式(4-1) ()()()[]()()[]C C C C AM M M A S ωωωωωωδωωδπω-+++ -++=2 1 0 式(4-2) 在式中,为外加的直流分量;可以是确知信号也可以是 随机信号,但通常认为其平均值为0,即。其频谱是DSB SC-AM 信号的频谱加上离散大载波的频谱。 2.解调原理:AM 信号的解调是把接收到的已调信号还 原为调制信号。 AM 信号的解调方法有两种:相干解调和包 络检波解调。 AM 相干解调原理框图如图。相干解调(同步解调):利用

相干载波(频率和相位都与原载波相同的恢复载波)进行的解调,相干解调的关键在于必须产生一个与调制器同频同相位的载波。如果同频同相位的条件得不到满足,则会破坏原始信号的恢复。相干载波的提取:(1)导频法:在发送端加上一离散的载频分量,即导频,在接收端用窄带滤波器提取出来作为相干载波,导频的功率要求比调制信号的功率小;(2)不需导频的方法:平方环法、COSTAS环法。 LPF m0(t) S AM(t) cosωc t AM信号波形的包络与输入基带信号成正比,故可以用包络检波的方法恢复原始调制信号。包络检波器一般由半波或全波整流器和低通滤波器组成: (1)整流:只保留信号中幅度大于0的部分。(2)低通滤波器:过滤出基带信号;(3)隔直流电容:过滤掉直流分量。实验内容: 1.AM相干解调框图。

相关文档
相关文档 最新文档