文档视界 最新最全的文档下载
当前位置:文档视界 › TI杯设计报告赛区一等奖信号波形合成实验电路

TI杯设计报告赛区一等奖信号波形合成实验电路

TI杯设计报告赛区一等奖信号波形合成实验电路
TI杯设计报告赛区一等奖信号波形合成实验电路

全国大学生电子设计竞赛

2010年TI杯模拟电子系统专题邀请赛设计报告

题目:信号波形合成实验电路(C题)

学校:武汉大学

指导老师:

参赛队员姓名:

日期: 2010年08月24日

2010年TI杯模拟电子系统专题邀请赛试题

信号波形合成实验电路(C题)

一、课题的任务和要求

课题任务是对一个特定频率的方波进行变换产生多个不同频率的正弦信号,再将这些正弦信号合成为近似方波和近似三角波。

课题要求是首先设计制作一个特定频率的方波发生器,并在这个方波上进行必要的信号转换,分别产生10KHz、30KHz和50KHz的正弦波,然后对这三个正弦波进行频率合成,合成后的目标信号为10KHz近似方波和近似三角波。另外设计一个正弦信号幅度测量电路,以测量出产生的10KHz、30KHz和50KHz正弦波的的幅度值。

课题还给出了参考的实现方法,见下图。

图1 电路示意图

图1 课题参考实现方案

二、实现方案的分析

1.基本方波发生器方案的分析

方波的产生方法很多,如用运算放大器非线性产生、用反向器及触发器产生、也可用模数混合时基电路ICL7555产生等。本例采用第一种方案,最符合题意要求。

2.波形变换电路方案的分析

从某方波中提取特定频率的正弦波方案很多,如用窄带滤波器直接从方波中提取所需的基波或谐波;用锁相方法进行分频或倍频产生所需频率;用数字分频方案,从较高频率的方波或矩形波中通过分频获得所需频率方波并进行变换获得正弦波。本课题采用第三种方案。

3.移相方案分析

在方波——正弦波转换中,难免会产生附加相移,通过移相来抵消附加相依,以便信号合成时重新实现同步。根据微分电路实现相位超前、积分电路实现相位滞后的理论,因此,采用微伏和积分来实现移相。

4.信号合成方案分析

方波信号经过波形变换和移相后,其输出幅度将有不同程度的衰减,合成前需要将各成分的信号幅度调整到规定比例,才能合成为新的合成信号。本课题采用反向比利运算电路实

现幅度调整,采用反向加法运算实现信号合成。

5.信号检测和显示方案分析

信号检测和显示部分采用MSP430单片机,由于信号最高频率仅50KHz ,采用高速运放TLC083I 配合高频检波二极管和周围阻容元件制作一个平均值检测电路,送单片机的10位AD 转换并换算,得到其幅值,送显示器LCD1602控制显示。

三、总体方案的设计与实现

1.方波发生器电路的设计与实现

本课题的方波发生器部分采用运算放大器设计,作为运算放大器非线性应用的最典型实例之一,通过制作后实测的效果看,所产生的频率稳定可靠。

图中,R1和R2用于改变滞回系数,(R3+RW1)与C5决定了充放电的速率,充电周期为T1,放电周期为T2,且这里的T1=T2,设总的充放电周期为T ,由此可得:

T1=T2=(R3+RW1)×C5×Ln (1+2R1/R2) T =T1+T2;T=2T1

T=2×(R3+RW1)×C5×Ln (1+2R1/R2)

由于R1=R2;

所以T=2×(R3+RW1)×C5×Ln (1+2R1/R2)

=2.2×(R3+RW1)×C5

若所选频率f=300KHz=300000Hz ,并且C5=1000P ,

则T=1/f =1/300000Hz (S )

=3.333333×10-6

(S )

则2.2×(R3+RW1)×C5=3.333333×10-6

(R3+RW1)=(3.333333×10-6

)/(2.2×1000×10

-12

)=1500(Ω)=1.5(K Ω)

选择RW 电位器为2K Ω,配合330Ω的电阻,调节电位器改变振荡频率。 2.分频电路的设计与分析

分频电路实现将某方波通过分频产生10KHz 、30 KHz 和50 KHz 的新的方波。

根据题意要求,在某特定频率的方波上要产生几个其他频率方波,可按照这些频率的最小公倍数×2为原则,题目要求的三个频率为10KHz 、30KHz 和50KHz ,其公倍数为150KHz ,再乘以2,则上述方波发生器为300KHz 。验证一下:300KHz 频率30分频得10KHz ,10分频30KHz ,6分频50KHz 。

采用十进制计数分配器CD4017配合D 触发器CD4013实现分频为上述3个频率的方波,CD4017默认10分频,下图中二极管正极连接位置决定分频系数。对于CD4013,所起的作用是将由CD4017分频后非50%占空比调节为50%。设计电路见图3所示,300KHz 输入信号送CD4017的CLK (14pin ),输出信号从CD4013的Q 端送出。

图2 模拟电路300KHz 方波电路

图3 分频器电路

该图中由于D2接CD4017的Q3,因此实现将300KHz 3分频,为

300KHz/3=100KHz

再经后级CD4013进行2分频,获得了

100KHz/2=50KHz

的频率。

对于30KHz和10KHz的分析计算方法相同,不再细述。

3.方波——三角波变换电路

方波——三角波变换电路采用由运算放大器组成的有源积分电路实现,见图4所示。

图4 方波——三角波变换电路

4.三角波——正弦波变换电路

三角波——正弦波变换电路采用单级RC无源积分电路实现,见图5所示。

图5 三角波——正弦波变换电路

5.移相电路

在上述变换电路中曾出现过RC 积分电路的应用,则会产生一定的相移,为了使合成波形达到相位要求,必须实现三路波形同步,这里的移相电路便实现这个功能,见图6所示。其中图6(a )实现滞后相移90 o ;图6(b )实现超前相移90 o 。

(a )滞后移相 (b )超前移相

图6 移相电路 根据需要,后续电路可接入超前移相的或滞后移相的移相器。 6.比例运算和和合成电路的分析和计算

课题要求合成后的波形类同于方波和三角波,则三个频率分量要满足傅立叶变换系数的要求,这里就需要系数矫正电路,即比例运算电路,通过比例调节后加到一个加法器组成的叠加电路中,实现所要达到的相应的波形。设计的电路见图7所示。

图7 比例运算和和叠加电路

在进行信号合成前,各波形(10KHz 的基波、30KHz 的三次谐波、50KHz 的五次谐波)的幅度和相位都要进行按规定调节好,以下探讨信号叠加前各波形之间的相位和关系。

1)方波

由傅立叶级数对方波予以分解可得

...)

sin 1...3sin 31(sin 4)(++++=t n n t t n A t f ??ωπ

可见各级谐波的系数比为51:

31:1。合成方波时,据题意,kHz 10正弦波的峰峰值为6V ,

kHz 30正弦波的峰峰值为2V ,kHz 50正弦波的峰峰值应为1.2V 。另外,这些谐波要求初相位相同,由式可知,初相位均为零。各自所需幅值可通过调节三个放大器的放大量获得,初相可通过上一节对相位调节电路的调节来获得。

2)三角波

同样由傅立叶级数对方波予以分解可得

...))1sin()

1(1sin 1...5sin 513sin 31(sin 4)(2222t n n t n n t t t n A t f ????ωπ++-+++-=

可见前三级各级谐波的系数比为25

1:91:1-。合成三角波时,据题意,kHz 10正弦波的峰

峰值为6V ,kHz 30正弦波的峰峰值为0.67V ,kHz 50正弦波的峰峰值应为0.24V 。另外,这些谐波中每隔一个相位取反。各自所需幅值可通过调节三个放大器的放大量获得,初相可通过上一节对相位调节电路的调节来获得。 6.单片机的监测电路和检测显示流程

单片机的任务就是测量某路的正弦波的幅值和送显示,其组成部分有精密检波电路、平滑滤波电路、单片机MSP430F2274最小系统和LCD1602字符液晶显示器等几个部分组成,见图8所示。

图8 单片机检测和显示系统框图

该部分精密检波电路采用平均值全波精密检波方案,实现将10KHz 、30 KHz 、50 KHz 各个正弦波被测信号转换为脉动全波直流电,其电路图和波形图如图9所示。

图9 全波精密整流电路及输入输出波形

上图中的脉动直流电还不能直接送单片机检测,可加一RC 平滑滤波电路后为平滑直流电,如图10所示。

整个项目的程序编制尤为简单,如下框图所示。

图14 程序流程图

四、整机指标及系统测试

1.整机指标

1)电源供电:双DC8V ±2V ,60mA

(a )RC 平滑滤波电路 (b )滤波后的波形

图10 RC 平滑滤波电路和波形

ui uo

uo 0 t

约平均值

2)使用环境:温度-20O C——+80 O C;湿度0——95%RH

3)外观尺寸 420×360×75

4)MCU检测系统的检测误差:小于等于2%

2.系统测试

1)目标为方波系统时分解后的各信号测试

):10KHz为6.03V;30KHz为2.01V;50KHz为1.18V 分离的正弦波幅度(V

P—P

分离的正弦波失真度:10KHz为20%;30KHz为16%;50KHz为9%

2)目标为三角波系统时分解后的各信号测试

):10KHz为6.03V;30KHz为0.63V;50KHz为0.26V 分离的正弦波幅度(V

P—P

分离的正弦波失真度:10KHz为20%;30KHz为14%;50KHz为21%

3)合成后的方波:(10KHz+30KHz)失真度22%,幅值:5.08V

(10KHz+30KHz+50KHz)失真度17%,幅值:4.93V

4)合成后的三角波:(10KHz+30KHz+50KHz)失真度15%,幅值:7V

付录1:信号分解与合成部分整机电路图

附录2:印制电路板图

附录3:合成波形图1

(方波10KHz+30KHz)

附录4:合成波形图2

(方波10KHz+30KHz+50KHz)

附录5:合成波形图3

(三角波10KHz+30KHz+50KHz)

《解题思路》信号波形合成实验电路(2)

信号波形合成实验电路(C 题) 设计任务:设计制作一个电路,能够产生多个不同频率的正弦信号,并将这些信号再合成为近似方波和其他信号。 1.基本要求 (1)方波振荡器的信号经分频与滤波处理,同时产生频率为10kHz 和30kHz 的正弦波信号,这两种信号应具有确定的相位关系(要求2个信号来自同一信号源); 需要分频,所以振荡器产生150kHz 的信号。3分频得到50kHz ,5分频得到 30kHz 、15分频得到10kHz 。 (2)产生的信号波形无明显失真,幅度峰峰值分别为6V 和2V ; 方波的展开式:)7sin 7 15sin 513sin 31(sin 4)( ++++=t t t t h t f ωωωωπ 其中h 是方波的幅度(一半高度)h=2.36V ,方波高度4.71V 。 采用RLC 串联谐振电路作为选频电路,对方波进行频谱分解。其中RLC 分别选:对于10kHz 的基波,1、10mH 、25.36nF 、Q=100;对于30kHz 的3次谐波,1、10mH 、2.8nF 、Q=100。 采用低通开关电容滤波器TLC04,截止频率设为40kHz 需要2MHz 的时钟,20kHz 需要1MHz 的时钟。需要用运放组成带通滤波器。 (3)制作一个由移相器和加法器构成的信号合成电路,将产生的10kHz 和 30kHz 正弦波信号,作为基波和3次谐波,合成一个近似方波,波形幅度为5V 。 制作一个移相网络,使得两路信号同相,然后叠加即可(运放实现)。 2.发挥部分 (1)再产生50kHz 的正弦信号作为5次谐波,参与信号合成,使合成的波 形更接近于方波; 用运放组成带通滤波器(运放实现)。 (2)根据三角波谐波的组成关系,设计一个新的信号合成电路,将产生的 10kHz 、30kHz 等各个正弦信号,合成一个近似的三角波形; 三角波的展开式)7sin 7 15sin 513sin 31(sin 8)(2222 +-+-=t t t t h t f ωωωωπ, 将上一步中的3种波形按这一系数合成三角波。 (3)设计制作一个能对各个正弦信号的幅度进行测量和数字显示的电路,测 量误差不大于±5%; 采用平均值检波电路检波,然后用AD 采集、显示即可(MCU 实现)。 (4)其他。 可以添加语音功能(ISD1420实现)。

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

方波_三角波发生电路实验报告

河西学院物理与机电工程 学院 综合设计实验 方波-三角波产生电路 实验报告 学院:物理与机电工程学院 专业:电子信息科学与技术

:侯涛 日期:2016年4月26日 方波-三角波发生电路 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波的波形发生器。 指标:输出频率分别为:102HZ、103HZ和104Hz;方波的输出电压峰峰值VPP≥20V 一、方案的提出 方案一: 1、由文氏桥振荡产生一个正弦波信号。 2、把文氏桥产生的正弦波通过一个过零比较器从而把正弦波转换成方波。 3、把方波信号通过一个积分器。转换成三角波。 方案二: 1、由滞回比较器和积分器构成方波三角波产生电路。 2、然后通过低通滤波把三角波转换成正弦波信号。 方案三: 1、由比较器和积分器构成方波三角波产生电路。

2、用折线法把三角波转换成正弦波。 二、方案的比较与确定 方案一: 文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、C1=C2。即f=f0时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的方波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化围很小的情况下使用。然而,指标要求输出频率分别为102HZ、103HZ和104Hz 。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波、三角波发生器原理如同方案二。比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率围的限制。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 三、工作原理: 1、方波、三角波发生电路原理

电力电子电路分析与仿真实验报告模板剖析

电力电子电路分析与仿真 实验报告 学院:哈尔滨理工大学荣成学院 专业: 班级: 姓名: 学号: 年月日

实验1降压变换器 一、实验目的: 设计一个降压变换器,输入电压为220V,输出电压为50V,纹波电压为输出电压的0.2%,负载电阻为20欧,工作频率分别为220kHz。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 四、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

实验2升压变换器 一、实验目的: 将一个输入电压在3~6V的不稳定电源升压到稳定的15V,纹波电压低于0.2%,负载电阻10欧,开关管选择MOSFET,开关频率为40kHz,要求电感电流连续。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 五、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

信号波形合成实验报告之欧阳家百创编

信号波形合成实验电路 欧阳家百(2021.03.07) 摘要:本设计包含方波振荡电路,分频电路,滤波电路,移相电路,加法电路,测量显示电路。题目要求对点频率的各参数处理,制作一个由移相器和加法器构成的电路,将产生的10KHz 和30KHz 正弦信号作为基波和三次谐波,合成一个波形幅度为5V、近似于方波的波形。振荡电路采用晶振自振荡并与74LS04 结 合,产生6MHz 的方波源。分频电路采用74HC164与74HC74分频出固定频率的 方波,作为波形合成的基础。滤波采用TI公司的运放LC084,分别设置各波形 的滤波电路。移相电路主要处理在滤波过程中相位的偏差,避免对波形的合成结 果造成影响。 关键词:方波振荡电路分频与滤波移相电路加法器 Experimental waveform synthesis circuit Abstract:The design consists of a square wave oscillator circuit, divider circuit, filtercircuit, phase shift circuits, addition circuits, measurement display circuit. Subject ofthe request of the point frequency of the various parameters of processing, productionof a phase shifter circuit consisting of adders, will have the 10KHz

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

信波形合成实验电路

信波形合成实验电路 YUKI was compiled on the morning of December 16, 2020

信号波形合成实验电路(C 题) 内容介绍:该项目基于多个正弦波合成方波与三角波等非正弦周期信号的 电路。使用555电路构成基准的方波振荡信号,以74LS161实现前置分频形成10KHz 、30kHz 、50kHz 的方波信号,利用TLC04滤波器芯片获得其正弦基波分量,以TLC084实现各个信号的放大、衰减和加法功能,同时使用RC 移相电路实现信号的相位同步;使用二极管峰值包络检波电路获得正弦信号的幅度,以MSP430作为微控制器对正弦信号进行采样,并且采用段式液晶实时显示测量信号的幅度值。 1方案 题目分析 考虑到本设计课题需要用多个具有确定相位和幅度关系的正弦波合成非正弦周期信号,首选使用同一个信号源产生基本的方波振荡,使得后级的多个正弦波之间保持确定的相位关系。 在滤波器环节,为了生成10kHz 、30kHz 和50kHz 的正弦波,我们需要使用三个独立的滤波器,由于输入滤波器的是10kHz 、30kHz 和50kHz 的方波信号,所以可以使用带通滤波器或者低通滤波器,并且尽量维持一致的相位偏移。 从Fourier 信号分析理论看,合成 数学上可以证明此方波可表示为: )7sin 7 1 5sin 513sin 31(sin 4)( ++++= t t t t h t f ωωωωπ 三角波也可以表示为: )7sin 7 1 5sin 513sin 31(sin 8)(2222 +-+-=t t t t h t f ωωωωπ

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

电子电路实验报告

.东南大学电工电子实验中心 实验报告 课程名称:电子电路实践 第三、四次实验 实验名称:单级低频电压放大器 院(系):专业: 姓名:学号: 实验室:105 实验组别:无 同组人员:无 实验时间:2012年4月15日2012年4月22日评定成绩:审阅老师:

实验目的: 1、掌握单级放大电路的工程估算、安装和调试 2、了解三极管各项基本器件参数、工作点、偏置电路、输入阻抗、输出阻抗、增益、幅频 特性等的基本概念以及测量方法 3、掌握基本的模拟电路的故障检查和排除方法,深化示波器、稳压电源、交流电压表、 函数发生器的使用技能训练 三、预习思考 1、器件资料: 上网查询本实验所用的三极管9013的数据手册,画出三极管封装示意图,标出每个管 将其扁平的一面正对自己,管脚朝下,则从左至右三个管脚依次为e,b,c;封装图如下:

2、 偏置电路: 教材图1-3中偏置电路的名称是什么,简单解释是如何自动调节BJT (半导体三极管)的电流I C 以实现稳定直流工作点的作用的,如果R 1 、R 2取得过大能否再起到稳定直流工作点的作用,为什么? 答: 共发射极偏置电路。 利用12,R R 构成的分压器给三极管基极b 提供电位B U ,又1 BQ I I ,基极电位B U 可近 似地由下式求得:2 12 B C C R U V R R ≈ ?+ 当环境温度升高时,)(CQ EQ I I 增加,电阻E R 上的压降增大,由于基极电位B U 固定,加到发射结上的电压减小,BQ I 减小,从而使CQ I 减小,通过这样的自动调节过程使CQ I 恒定,即实现了稳定直流工作点的作用。 如果12,R R 取得过大,则1I 减小,不能满足12,R R 支路中的电流1 BQ I I 的条件,此时, BQ V 在温度变化时无法保持不变,也就不能起到稳定直流工作点的作用。 3、 电压增益: (I) 对于一个低频放大器,一般希望电压增益足够大,根据您所学的理论知识,分析有 哪些方法可以提高电压增益,分析这些方法各自优缺点,总结出最佳实现方案。 答: 0()() 26(1) C L C L u i be b CQ u R R R R A mV u r r I βββ= =-=- ++ 所以提高电压增益的方法有: 1)增大集电极电阻R C 和负载R L 。缺点:R C 太大,受V CC 的限制,会使电路不能正常工作。 2)Q 点适当选高,即增大I CQ 。缺点:电路耗电大、噪声大 3)选用多级放大电路级联形式来获取足够大的电压增益。缺点:电路较复杂,输出信

信号波形合成实验电路设计

信号波形合成实验电路 小组成员:李于飞、耿红鹏、赵珑 摘要:本设计通过产生不同频率和幅值的正弦信号,并将这些信号合成为近似的方波和三角波,构成了信号波形合成实验电路。本系统主要由8个部分构成:由NE555构成的方波振荡电路;主要由集成计数器74LS90和作为D触发器的CD4013构成的分频电路;使用LM318构成的窄带通滤波电路;由双运放LM318构成的移相电路;加法器合成电路;三角波合成电路;使用AD637构成的真有效值检测电路;MSP430F149单片机控制液晶显示电路。在本设计中,方波振荡电路可产生300KHZ频率的方波,经过分频电路和隔直电容以后成为双极性方波。再经过滤波和放大以后得到了所需的各次谐波,其经过移相电路之后初相位相同,即可通过加法器合成为近似的方波和三角波。各次谐波有效值可检测并由单片机控制对幅度进行显示。系统工作稳定,基本达到了题目的所有要求。 关键字:方波振荡电路;分频;移相;真有效值;信号合成。 目录 一、系统方案……………………………………………………… 1.1方波发生电路方案………………………………………….…… 1.2分频电路设计方案………………………………………….......

1.3 滤波电路设计方案……………………………………………… 1.4移相电路设计方案..................................... 1.5 信号合成电路设计方案……………………………………….... 1.6信号检测和显示方案……………………………………… 二、理论分析与计算……………………………………… 2.1系统原理框图…………………………………… 2.2方波信号的合成与分解…………………………………... 2.3三角波信号合成……………………………………….. 2.4反相加法电路.......... ............................................. 三、总体方案的设计与实现………………………………………. 3.1 555振荡电路原理分析与计算........................................... 3.2 分频电路............................................................... 3.3方波——三角波变换电路............................................ 3.4三角波——正弦波变换电路........................................ 3.5移相电路.................................................................. 3.6比例运算和合成电路...................................................... 3.7AD转换和液晶显示.............................................. 四、实验测试及测试结果分析 4.1测试仪器............................. 4.2整机标准 ............................... 4.3合成电路结果.......................... 4.4测试结果和分析........................

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

波形产生电路实验报告

波形产生电路实验报告 一、实验目得 1。通过实验掌握由集成运放构成得正弦波振荡电路得原理与设计方法; 2、通过实验掌握由集成运放构成得方波(矩形波)与三角波(锯齿波)振荡电路得原理与设计方法。 二、实验内容 1. 正弦振荡电路 ?实验电路图如下图所示,电源电压为±12V。 (1)缓慢调节电位器R W,观察电路输出波形得变化,解释所观察到得现象、 (2)仔细调节电位器R W,使电路输出较好得正弦波形,测出振荡频率与幅度以及相对应得R W之值,分析电路得振荡条件。 (3)将两个二极管断开,观察输出波形有什么变化。 2、多谐振荡电路 (1)按图2 安装实验电路(电源电压为±12V)。观测V O1、V O2波形得幅度、周期(频率)以及V O1得上升时间与下降时间等参数。 (2)对电路略加修改,使之变成矩形波与锯齿波振荡电路,即V O1为矩形波,V O2为锯齿波、要求锯齿波得逆程(电压下降段)时间大约就是正程(电压上升段)时间得20% 左右、观测V O1、V O2得波形,记录它们得幅度、周期(频率)等参数、 3.设计电路测量滞回比较器得电压传输特性。 三、预习计算与仿真 1、预习计算 (1)正弦振荡电路

由正反馈得反馈系数为: 由此可得RC 串并联选频网络得幅频特性与相频特性分别为 易知当时,与同相,满足自激振荡得相位条件。 若此时,则可以满足,电 路起振,振荡频率为 000 111 994.7Hz 1.005ms 2216k 10nF f T RC f ππ= ====?Ω?,、 若要满足自激振荡,需要满足在起振前略大于1,而,令,即满足条件得R w应略大于10k Ω、 (2)多谐振荡电路 ?对电路得滞回部分,输出电压U O =±U Z =±6V ,U P =U O ×R 2R 2+R 1 +U O2× R 1R 2+R 1 ,当U P = U N =0V 时,可以得到U O2=±R 2R 1 ×U O =±3V 、 由U T = 1R 3C ×0.5T ×U O ?U T ,所以得到:T =4R 2R 4C R 1?=400us 、 2。 仿真分析 (1)正弦振荡电路 仿真电路图: 仿真得到得测量数据总结如下(具体见仿真报告): (1)R W 为0时,无波形产生 (2)调节R W 恰好起振时 (3)调节R W 使输出电压幅值最大

电子电路综合实验报告

电子电路综合实验报 课题名称:简易晶体管图示仪 专业:通信工程 班级: 学号: 姓名: 班内序号:

一、课题名称: 简易晶体管图示仪 二、摘要和关键词: 本报告主要介绍简易晶体管的设计实现方法,以及实验中会出现的问题及解决方法。给出了其中给出了各个分块电路的电路图和设计说明,功能说明,还有总电路的框图,电路图,给出实验中示波器上的波形和其他一些重要的数据。在最后提到了在实际操作过程中遇到的困难和解决方法,还有本次实验的结论与总结。 方波、锯齿波、阶梯波、特征曲线。 三、设计任务要求: 1. 基本要求:⑴设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数N=6; ⑵设计一个三角波发生器,三角波Vopp≥2V; ⑶设计保护电路,实现对三极管输出特性的测试。 2. 提高要求:⑴可以识别NPN,PNP管,并正确测试不同性质三极管; ⑵设计阶数可调的阶梯波发生器。 四、设计思路: 本试验要求用示波器稳定显示晶体管输入输出特性曲线。我的设计思路是先用NE555时基振荡器产生的方波和带直流的锯齿波。然后将产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿的个数,实验中利用它的三位输出为多路开关CD4051提供地址。CD4051是一个数据选择器,根据16进制计数器74LS169给出的地址进行选择性的输出,来输出阶梯波,接入基极。由双运放LF353对NE555产生的锯齿波进行处理,产生符合要求的锯齿波作为集电极输入到三极管集电极。最后扫描得到NPN的输出特性曲线。总体结构框图:

五、分块电路和总体电路的设计: ⑴用NE555产生方波及锯齿波,电路连接如下。 图2.方波产生电路 NE555的3口产生方波,2口产生锯齿波,方波振荡器周期T=3 R1+R2 C1,占空比D= R1+R2 /(R1+2R2),为使阶梯波频率足够大,选C1=0.01uF,同时要产生锯齿波,方波的占空比应尽量大,当R1远大于R2时,占空比接近1,选R1为20kΩ,R2为100Ω。 ⑵阶梯波电路: 用NE555时基振荡器产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿得个数,实验中利用它的三位输出为多路开关CD4051的输入Qa、Qb、Qc提供地址。直流通路是由5个100Ω的电阻组成的电阻分压网络以产生6个不同的电压值,根据16进制计数器74LS169给出的地址进行选择性的输出,而它的管脚按照一定的顺序接入5个等值电阻然后在第一个电阻接入5V 的电压,原本是管脚接7个电阻可以产生8阶阶梯波,将三个管脚短接,即可产生6阶,这里选择了4,2,5接地,使输出为6阶阶梯波,以满足基本要求中的阶梯波幅度大于3V的要求。另一路信号通道的输入则接被显示的信号;通过地址信号Qa、Qb、Qc对两回路信号同步进行选通。这样,用示波器观察便可得到有6阶的阶梯波。 仿真时在Multisim上没有现成元件CD4051,这里选择了与它功能相近的8通道模拟多路复用器ADG528F代替。它是根据A1、A2、A3口的输入来选择输出S1-S8中各路电压值。

TI杯模拟电子设计大赛信号波形合成实验电路

TI杯模拟电子设计大赛 信号波形合成的设计与实现 参赛学校: 参赛队员: 指导老师:

摘要 生活中离不开信号,我们时时刻刻都在和信号打着交道,正弦波,方波这两种波是最基本的波形,我们通过设计方波的产生来更加深刻了解到信号的产生。 Abstract Life is inseparable from the signal, we all the time and signal name of dealings, sine wave, square wave are the two waves in the most basic waveform. Now we design a products to generate square wave signal to know the wave deeply . 一.设计思路 采用单片机430 来控制输出值的显示。基本的流程图如下所示:

又因为我们将方波傅利叶分解出得出如上的图,我们发现方波就是基波,三次谐波,五次谐波组成。 对三角波分解,如下图 从图中,我们知道三角波是三次谐波翻转180度,然后和基波与五次谐波相加所得,其中因

为别的谐波幅值不太,我们可以不做考虑。 二.方案论证 1、方波的产生方案论证和选择 方波是要设计的基础部分,下面产生的任何波形都是在这个波上产生的。 方案一:采用专用DDS芯片产生方波。优点:软件设计,控制方便,电路易实现。但是因为题目要求是“方波振荡器的信号经分频与滤波处理”,也就是说,软件控制不是题目想要的。 方案二:采用晶振来产生。用60M的晶振来产生方波,通过对60M的有源晶振分频来产生频率分别为10K Hz,30K Hz,50K Hz 的方波,但这样产生的分频电路过于复杂,不利于系统的搭建。 方案三:利用555产生出一定频率的方波。根据后面的要求,我们直接用555产生50K Hz 和60K Hz的方波 为了后面的设计,又因为555的技术已经很成熟了,选择方案三,使用555来直接产生方波。 2、分频与滤波 通过RC振荡来滤波,为了得到毛刺少的波,我们用三阶滤波。 3、移相电路设计方案论证和选择 方案一:由三相输入隔离变压器二次绕组接成12边形的移相电路t每相有3个绕组通过特殊的连接方法组成。其存在着如体积大移相变化率>5 等诸多缺点。 方案二:用运放和R,C 来调节翻转的角度。R ,C 电路在输入输出时会有90度的迟滞。 根据题目的要求,我们只要在0~90度可调与一个反向器就好。 4加法器的设计方案 根据题目要求,只要可调就好。 5.电源方案的选择与论证 方案一:采用升压型稳压电路。用两片MC34063芯片分别将3V的电池电压进行直流斩波调压,得到5V 和12V的稳压输出。只需使用两节电池,节省了电池,又减小了系统体积重量。但该电路供电电流沁,供电时间短,无法使用相对庞大的系统稳定运作。 方案二:采用三端稳压集成7805与7905分别得到5V和-5V的稳定电压。利用该方法方便简单,工作稳定可靠。 综上所述,选择方案二,采用三端集成稳压器电路7805和7905。 三.信号波形系统的组成: 1方波的产生的电路设计 方波是由555发生器,二极管,三极管以及电阻,电容组成。其原理图如图1,图2所示。

波形发生电路实验报告

波形发生电路实验报告 班级 姓名 学号

一、实验目的 1. 掌握由集成运放构成的正弦波振荡电路的原理与设计方法。 2. 学习电压比较器的组成及电压传输特性的测试方法。 3. 掌握由集成运放构成的矩形波和三角波振荡电路的原理与设计方法。 二、实验内容 1. 正弦波发生电路 (1)实验参考电路见图1。 (2)缓慢调节电位器R W,观察电路输出波形的变化,完成以下测试: ①R W为0Ω 时的u O的波形; ②调整R W使电路刚好起振,记录u O的幅值、频率及R W的阻值; ③调整R W使输出为不失真的正弦波且幅值最大,记录u O幅值、频率及R W的阻值; ④将两个二极管断开,观察R W从小到大变化时输出波形的变化情况。 2. 方波- 三角波发生电路 (1)实验参考电路见图2。 (2)测试滞回比较电路的电压传输特性 将图2 电路的第一级改造为滞回比较电路,在输入端输入合适的测试信号,用示波器X-Y模式观测电压传输特性曲线并记录阈值电压和u O1的幅值。

(3)测量图2电路u O1、u O2波形的幅值、周期及u O1波形的上升和下降时间。 3.矩形波- 锯齿波发生电路 修改电路图2,使之成为矩形波- 锯齿波发生电路。要求锯齿波的逆程(电压下降)时间大约是正程时间的20%,记录u O1、u O2的幅值、周期。 三、实验要求 1. 实验课上搭建硬件电路,记录各项测试数据。 2. 完成正弦波电路的实验后在面包板上保留其电路,并使其输出电压U o在1-3V范围内连续可调。 四、预习计算 1.正弦波振荡电路 起振条件为|A|略大于3,刚起振时幅值较小,认为二极管还未导通,即R4+R W R2 +1略大于3,即R W略大于10kΩ时刚好起振,随着R W的增大,振幅会增大,当R W过大时波形会出现失真。 振荡频率由RC串并联选频网络决定,f0=1 2πR1C1 ≈106.1Hz 2.方波- 三角波发生电路 滞回比较器的阈值电压±U T=±R2 R1 U Z=±2.9V,测试滞回比较电路时将R2与运放A2的输出端断开,改接输入信号(三角波为宜)。 方波(u O1)的幅值为U Z=5.8V,三角波(u O2)的幅值为U T=2.9V。 U T=?1 4 (?U Z) T ?U T U T=R2 1 U Z 解得:T=4R2R4C R1 =0.4ms,即u O1和u O2的周期为0.4ms。 3.矩形波- 锯齿波发生电路 只需让电容充放电回路的时间常数不一样即可。电路原理图如下:

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

信号波形合成实验电路(C题)

信号波形合成实验电路(C 题) 摘要:该系统由方波振荡电路产生300k 方波,经三分频和十分频,同时得到10K,30K,50K 的方波。使用TI 公司的四阶开关电容低通滤波器TLC041D ,可同时产生几路正弦信号,再经移相和加法器合成方波信号或三角波,由单片机采样峰值进行液晶显示.整个系统简易实现,性价比高。 关键字:方波振荡器 开关电容滤波器TLC041D 移相器 峰值检测 液晶显示 1. 方案设计 1.1 总体方案与系统框图 题目要求从方波中提取基波和三次谐波,五次谐波,再合成方波,为实现题目要求,本系统的各个模块如图1所示。由施密特触发器构成方波振荡电路,由简单的门电路和触发器构成分频电路,使用通用运放组成滤波,放大,移相电路合成方波或三角波。 图1 1.2 理论分析及TI 芯片选用依据 任何具有周期为T 的波函数f(t)都可以表示为三角函数所构成的级数之和,如式(1-1): ) (公式1) sin cos (21 )(1 0∑∞ =++=n n n t n b t n a a t f ωω 对于方波和三角波分别可以通过傅立叶展开,如式1-2,1-3所示: )(公式2)7sin 71 5sin 513sin 31(sin 4)( ++++= t t t t h t f ωωωωπ )(公式3)7sin 7 1 5sin 513sin 31(sin 8)(2222 +-+- = t t t t h t f ωωωωπ 结合题目要求,本系统主要需要以下器件: (1) 信号源施密特触发器CD40106产生300K 方波; (2) 300K 方波分别经分频器 得到50K ,30K ,10K 方波; (3) 滤波芯片TLC041,通用运算放大器OP 系列,以及电流监测芯片))

相关文档
相关文档 最新文档