文档视界 最新最全的文档下载
当前位置:文档视界 › 多路抢答器

多路抢答器

多路抢答器
多路抢答器

本科学生设计性实验报告

项目组长吴洋涛学号0123694

成员

专业电子信息工程班级121班

实验项目名称多路抢答器

指导教师及职称涂丽琴讲师

开课学期2014 至2015 学年第一学期

上课时间2014 年12 月 4 日

学生实验报告(三)

一、实验综述

1、实验要求:

(1)设计一个智力竞赛抢答器,可同时提供八名选手参加比赛,按钮的编号为1、2、3、4、

5、6、7、8。

(2)给主持人设置一个控制开关,用来控制系统的清零。

(3)抢答器具有数据锁存和显示的功能。主持人将系统复位后,参赛者按抢答开关,则该组指示灯亮,并显示出抢答者的序号,同时发出报警声音。

(4)设置计分电路。每组在开始时预置100分,抢答后由主持人控制,答对加10分,答错减10分。

(5)选做:增加抢答器定时抢答功能。抢答时间可预设,当主持人启动开始键后,定时器开始减计数并显示,参赛选手在设定时间内进行抢答,如果定时时间到,无人抢答,定时器发出短暂的声响,本次抢答无效,封锁输入电路,禁止选手超时后抢答。

2、实验所用仪器及元器件:

(1)74LS148

8 线-3 线优先编码器

引出端符号:0-7 编码输入端(低电平有效);EI 选通输入端(低电平有效)A0、A1、A2 编码输出端(低电平有效)GS 宽展端(低电平有效);EO 选通输出端

真值表:

(2)74LS138

74LS138:3 线-8 线译码器

当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。利用G1、/(G2A)和/(G2B)可级联扩展成24 线译码器;若外接一个反相器还可级联扩展成32 线译码器。若将选通端中的一个作为数据输入端时,138 还可作数据分配器。

管脚图:

引出端符号:A、B、C 译码地址输入端;G1 选通端/(G2A)、/(G2B) 选通端(低电平有效);Y0~Y7 译码输出端(低电平有效)

功能表:

(3)74LS47

74LS47:10线-4线优先编码器(BCD输出)

74LS47为10线-4线优先编码器,共有54/74147和54/74LS147两种线路结构型式,主要电特性的典型值如下:147将9条数据线(1-9)进行4线BCD编码,即对最高位数据线进行译码。当——9均为高电平时,编码输出(ABCD)为十进制零。故不需单设/IN0输入端。

(4)74LS190

190 的预置是异步的。当置入控制端( LD )为低电平时,不管时钟CP 的状态如何,输出端(Q0~Q3)即可预置成与数据输入端(D0~D3)相一致的状态。190 的计数是同步的,靠CP 加在4个触发器上而实现。当计数控制端(CT )为低电平时,在CP 上升沿作用下Q0~Q3同时变化,从而消除了异步计数器中出现的计数尖峰。当计数方式控制(U /D)为低电平时进行加计数,当计数方式控制(U /D)为高电平时进行减计数。只有在CP 为高电平时CT 和U /D 才可以跳变190 有超前进位功能。当计数溢出时,进位/错位输出端(CO/BO)输出一个低电平脉冲,其宽度为CP 脉冲周期的高电平脉冲;行波时钟输出端( RC )输出一个宽度等于CP 低电平部分的低电平脉冲。利用 RC 端,可级联成N 位同步计数器。当采用并行CP控制时,则将RC 接到后一级CT ;当采用并行CT 控制时,则将RC 接到后一级CP。

引脚图与其相应功能如下:

(5)74LS373

○1八D 锁存器(3S,锁存允许输入有回环特性) 373 的输出端O0~O7 可直接与总线相连。

○2当三态允许控制端 OE 为低电平时,O0~O7 为正常逻辑状态,可用来驱动负载或总线。当OE 为高电平时,O0~O7 呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。

○3当锁存允许端 LE 为高电平时,O 随数据D 而变。当LE 为低电平时,O 被锁存在已建立的数据电平。

○4当 LE 端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善400mV。

引出端符号:D0~D7 数据输入端;OE 三态允许控制端(低电平有效);LE 锁存允许端

O0~O7 输出端

真值表:

(6)74ls08

四2输入与门

引出端符号:1A-4A 输入端;1B-4B 输入端;1Y-4Y 输出端(7)74ls04

六1输入非门

引出端符号:1A-6A 输入端;1Y-6Y 输出端

(8)共阳极七段数码管四个

(9)按键开关若干,拨码开关三个,报警器一个,排阻10kom 一个上拉电阻:10kom 若干

限流电阻:300om 若干Led灯若干等。

3、实验原理:

整体设计思路如图1所示,多路抢答器主要由抢答电路和控制电路组成。其工作过程为,接通电源后,主持人按下复位键,使抢答器处于禁止工作状态,按下开始键后,抢答器处于工作状态,当参赛选手按下抢答键后,优先编码电路对抢答者的序号进行编码,有锁存器进行锁存,译码显示电路显示序号,控制电路使报警电路发出短暂声响,对输入编码电路进行锁存,禁止其他选手进行抢答,主持人可以对选手得分进行加、减控制。以上过程结束后,主持人可通过控制开关,使系统复位,以便进行下一轮的抢答。

图1

二、实验过程(实验步骤、记录、数据、分析)

1、实验内容与完成情况:

(1)抢答电路的设计

抢答电路的功能有两个:一是能分辨选手按按钮的先后顺序,并锁存优先抢答者的序号,供译码显示电路使用;二是要使其他选手的按钮操作无效。因此,选用优先编码器74LS148和锁存器74LS373以及译码显示电路完成上述功能。抢答器电路如图2所示:

图2

工作原理:

主持人宣布抢答开始后,把开关向下拨,拨向低电平,选手开始抢答,几号选手先抢到数码管就显示几号选手的号码,同时对应选手的LED灯也会亮,报警器也也响。第一个抢到后,其他选手抢答将无效,答题结束后主持人将开关拨向上面,即高电平,此时数码管将清零。下一轮开始主持人再拨动开关即可。

(2)计分电路的设计

图3

工作原理:

抢答开始前,每位选手都有基础分10分,选手答题后,若选手答对,开关SW2往下拨,

使开关拨向低电平,加1分,若答错,则开关SW2往上拨使开关拨向高电平,减1分。

(3)整体电路的设计

将抢答、计分电路进行连接后,构成了抢答器电路的整体设计,总电路图如图4所示:

图4 2.出现的(已解决的)问题与解决办法:

问题1:对电路图的总体感觉把握不准,刚刚开始的时候就是毫无头绪,完全不知道怎么做。

解决:经过百度对多路抢答器的电路进行了一定的了解,发现最好将问题分开成2至3个子电路来进行设计,这样会比较容易一些。

问题2:分开了电路之后又不太明白该具体怎么设计部分功能(比如说计分电路)

解决:对书上介绍的芯片进行了了解之后相互比对功能,然后就知道了。

问题3:在进行整体电路的仿真时,按下第五个按键时,显示的是数字7:。

解决:检查后,发现是电阻与74LS148之间的接线出现了问题,重新接线后就正常显示数字4了。

3.未解决的问题与可能的解决方案:

三、结论

1.实验结果:

(1)整体电路仿真:

图此电路仿真图显示的是选手抢答前的电路状态。

此电路仿真图显示的是5号选手抢到的情况,此时该选手得分为14分。

此电路仿真图显示的是当8号选手抢到的情况,此时该选手的得分为8分。

2、其他(包括实验体会、改进措施、创新与建议等):

(1)本次实验是设计性实验,既要仿真,又要做出实物,有一定的难度,首先是要了解芯片的功能,由于芯片太多,很难把它们联系起来。

(2)我们开始设计的时候就遇到很多问题,比如要优先考虑用常用的芯片,这样就不用担心元器件找不到的问题,再者是要尽可能实现更多的功能,最后要注意电路不应太复杂,否者做实物的时候就比较麻烦。

四、指导教师评语及成绩

评语:

成绩:

指导教师签名:

批阅日期:年月日

多路抢答器的设计与实现设计说明

多路抢答器的设计与实现 [摘要] 本设计是以八路抢答为基本理念,考虑到因活动规则的改变,需设定不同时长的限时抢答、回答问题的功能。利用STC89C52单片机及外围接口实现的抢答系统,以及单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够设定不同的抢答时间和答题时间,能够正确地进行倒计时,同时使数码管能够正确地显示时间以及选手编号。用矩阵键盘进行活动前的时间设定工作,用开关作为选手抢答按钮输出,用蜂鸣器来发出违规报警和倒计时提醒。同时本设计系统能够实现:在活动中,只有主持人按下开始抢答按钮后,选手的抢答才为有效,如果选手在开始抢答前抢答则为无效;抢答限定时间和回答问题的限定时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答;正确按键后有声音提示;抢答时间和回答问题时间用数码管进行倒计时显示,满时后系统计时自动复位及主控强制复位;有按键锁定,在有效状态下,按键无效非法。 [关键词]STC89C52单片机 LED数码管抢答器计时

Design and Implementation of the multi-channel Responder Tian Pengfei (Grade 07,class 084, Shaanxi University of Technology,Hanzhong 72300x, Shaanxi) tutor: Hu bo [Abstract]The design is based on eight Responder as the basic concept, taking into account the changes in the activity rules need to set the time length limit Responder, answer questions function. The Responder system, STC89C52 microcontroller and peripheral interface implementation and timing microcontroller timer / counter and count the principle of software, hardware organically combined, making the system able to set a different answer in time and answer time, able to correctly to the countdown, digital tube able to correctly display the time and the player number. Matrix keyboard work activities before the time set switch as players answer in the button output, use the buzzer to issue the violation alarm and countdown to remind. The same time, the design system can be achieved: At the event, only moderators began to answer in the button is pressed, the players of the answer in order to effectively, if the players before the start Responder Responder invalidity; a limited time to answer in a limited time and answer questions 1-99s setting; can show which players answer in an effective and invalid answer in; the right button a voice prompt; answer in time and answer questions time countdown display with digital tube, the full system time is automatically reset and master compulsory reset; keys are locked in an effective state, the button is not illegal. [Key words] Single-chip LED digital tube Responder timing

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

四路智力竞赛抢答器设计

数电课程设计 智力竞赛抢答器 姓名:郭堃 学号:200800800050 学院:信息工程学院专业:通信工程 年级2008级 指导教师:张军蕊

四路多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 前言 (1) 一、实验目的 (2) 二、实验内容和要求 (2) 三、设计思路 (2) 四、电路设计 (2) 1.电路设计指标 (3) 2.仿真电路组成 (4) (1)四路抢答器原理 (4) (2)定时器实现 (5) (3)计数电路 (6) (4)完整电路 (7) 五、总结与体会 (7) 六、参考文献 (8)

一、实验目的 1.学习智力竞赛抢答器电路的工作原理。 2.学习综合数字电子电路的设计、实现和调试方法。 二、实验内容和要求 设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。 要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。 三、设计思路 可将整个系统分为三个主要模块:抢答鉴别模块QDJB ;抢答计时模块JSQ ;抢答计分模块JFQ 。整个系统的组成框图如图5-5-8所示。 图--1 智力竞赛抢答器系统框图 四、电路设计 CLR A B C D 抢答 鉴别 电路 ALED BLED CLED DLED 组别显示译码 电路 组别显示 计分 电路 SCOREA SCOREB SCOREC SCORED ADD 计时 电路 LOAD L1 L0 CLK EN 计时显示译码 电路 JSXS1 JSXS0

多路抢答器的设计

& 目录 摘要—————————————————————————————2 一、绪论—————————————————————————————2 1、单片机抢答器的背景—————————————————————2 2、单片机的应用————————————————————————3 3、抢答器的应用————————————————————————4 } 二、方案设计——————————————————————————4 1、总方案设计—————————————————————————4 2、基本功能——————————————————————————5 3、扩展功能——————————————————————————5 三、硬件电路设计————————————————————————6 1、单片机的选择————————————————————————6 2、各模块设计—————————————————————————8 、单片机最小系统——————————————————————8 ' 、抢答按键电路———————————————————————8 、显示器电路————————————————————————9 、蜂鸣器音频输出电路————————————————————10 四、软件设计——————————————————————————11 1、程序设计——————————————————————————11 2、主程序设计—————————————————————————12 五、调试—————————————————————————————12 1、仿真测试——————————————————————————12 $ 、初始状态仿真———————————————————————12 、抢答开始仿真———————————————————————13 、抢答成功仿真———————————————————————13 、抢答违规仿真———————————————————————14 、抢答过时仿真———————————————————————14 2、仿真结果分析————————————————————————15 六、心得体会——————————————————————————15

八路抢答器设计(附源程序)

烟台大学单片机课程设计说明书课题:八路抢答器 学生姓名: 学号: 院系:机电汽车工程学院 专业:机械设计制造及其自动化 指导老师: 同组成员: 组长: 2012 年06 月07 日 目录

1 概述 (2) 2设计任务 (2) 3 系统总体方案 (3) 4 硬件设计 (4) 控制系统所需硬件 (4) 硬件原理介绍 (4) 5 软件设计 (7) 软件总体设计 (7) 程序流程图 (8) 6 Proteus软件仿真 (12) Keil软件 (12) 在Proteus软件 (12) 7小结 (14) 8心得体会 (15) 附1:源程序代码 (16) 附2:参考文献 (24) 1 .概述

8路智能抢答器的设计 现如今,各种智力知识竞赛已经成为人们的一种娱乐形式,人们在答题的过程中不仅可以享受到乐趣,还可以学到一些科学知识和生活常识。然而在抢答过程中,单靠视觉是很难判断出哪组最先完成抢答操作。为了辨别哪一组或哪一位选手获得答题权,必须要设计一个智能抢答控制系统——智能抢答器。 抢答器作为一种电子产品,已被人们所熟知并广泛应用于各种智力知识竞赛场合。抢答器在竞赛中有很大用处,通过抢答器的指示灯显示,数码管显示和警示蜂鸣等手段,能准确,公正,直观地判断出第1抢答者并协助比赛的顺利进行。但是,目前使用的抢答器大多数都采用了逻辑电路进行设计,分立元件较多,造成抢答器的成本较高。此外一般抢答器由模拟电路,数字电路或二者结合组成,其智能化程度低,故障率高,显示简单。现代电子技术的发展要求电子电路朝数字化,集成化方向发展,因此设计出全集成电路的多路抢答器是现代电子技术发展的要求。 2 .设计任务 本设计要求学生结合现有的实际条件,以单片机为控制核心,设计一个8路智能抢答器。要求实现的功能如下: 1) 抢答器可同时供8名选手或8个代表队比赛,分别用8个按键S1~S8进行抢答。 2) 主持人可以通过智能抢答器的按键设定每道题的抢答时间和回答时间。 3) 具有清零和非法抢答控制功能,并由主持人操纵,避免选手在主持人说“开始”前提前抢答,违反规则。 4) 当主持人启动“开始抢答键”后,定时器进行减计时,在10s内无人抢答表示所有参赛选手或参赛队对本题弃权,抢答时间耗尽后禁止抢答。 5) 倒计时5s时,如果仍无人抢答,则系统每1s报警一次,用以提示参赛选手。 6) 抢答器具有锁存与显示功能。即选手按下按键,锁存相应选手的参赛号码,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,其他按键者将不能响应,以便公平地选择第一个抢答者。 7) 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编号同时进入回答问题的30s倒计时。 8) 倒计时期间,如果主持人想终止倒计时,可以按下“停止”按键,系统

多路智能竞赛抢答器设计

课程设计任务书 学生:专业班级: 指导教师:工作单位: 题目: 多路智能竞赛抢答器设计 初始条件:74LS48 3片,74LS279 1片,74LS1481片,74LS192 2片,74LS90 2片,LM555 1片,74LS121 1片,74LS00 1片,74LS32 1片,74LS08 1片,电阻若干,电容3个,开关11个,蜂鸣器1个,LED 2个,三极管2N3096 1个,导线若干。 要求完成的主要任务: 1.基本功能 ①设计一个智力竞赛抢答器,可以同时供8名选手或8个代表队参加 比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示 数码管灭灯)和抢答的开始。 ③抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按 钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外要封存输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。 2.扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定, 档节目主持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示,同时扬声器发出短暂声响,声响持续0.5S左右。

②参赛选手在设定的时间抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答时间已到,去没有选手抢答,则本次抢答无效,系统短暂报警,并封存输入电路,禁止选手超时后抢答,时间显示器上显示00。时间安排: 第19周理论设计、实验室安装调试,地点:鉴主17楼九号实验室 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

根据单片机的三路抢答器的设计王辉

基于单片机的三路抢答器的设计 1课程设计的任务与要求 1.1 课程设计的任务 (1)设计一个可供3人进行的抢答器。 (2)系统设置复位按钮,按动后,重新开始抢答。 (3)抢答器开始时数码管显示序号00,选手抢答实行优先显示,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响,并且不出现其他抢答者的序号。 (4)抢答器具有定时抢答功能,且一次抢答的时间有主持人设定,本抢答器的时间设定为30秒,当主持人启动“开始”开关后,定时器开始减计时。 (5)设定的抢答时间内,选手可以抢答,这时定时器停止工作,显示器上显示选手的号码和抢答时间。并保持到主持人按复位键。 1.2 课程设计的要求 (1)基于单片机的三路抢答器的设计,并用Proteus设计与仿真出来。 (2)程序用Keil编程出来,并且生成Hex文件。 (3)设计的方案要能够长期,有效,稳定的运行。 (4)力求简单实用。 1.3 课程设计的研究基础 本设计是以三路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT89C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答时间和回答问题时间倒记时显示,满时后系统计时手动复位。 2 基于单片机三路抢答器系统方案制定 2.1 方案提出 方案一:

图1 方案一设计方案 方案二: 图2 方案二设计方案 2.2 方案比较 第一个方案比第二个方案多了一个驱动电路,所以第一个方案的电路会比较复杂。 2.3 方案论证 该系统采用51系列单片机AT89C52作为控制核心,该系统可以完成运算控制、信号识别以及显示功能的实现。由于用了单片机,使其技术比较成熟,应用起来方便、简单并且单片机周围的辅助电路也比较少,便于控制和实现。整个系统具有极其灵活的可编程性,能方便地对系统进行功能的扩张和更改。 2.4 方案选择 通过以上两个方案的比较,选择第二个方案。 3 基于单片机三路抢答器系统方案设计 3.1各单元模块功能介绍及电路设计

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

多路智力抢答器实验报告

湖北经济学院 数字电子技术课程设计报告 课题名称:数字电子技术课程设计指导教师: 学生班级: 学生姓名: 学号: 学生院系: 2012年4月

设计任务 一、基本功能 1、设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别用八个抢答按钮So、S1、S 2、S 3、S 4、S 5、S 6、S7表示。 2、设置一个由主持人控制的控制开关,用来控制系统清零和抢答。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 二、扩展功能 1、抢答器具有定时抢答的功能,抢答时间为30秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出声响,声响持续时间为0.5秒左右。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

设计报告 一、设计目的 1、学习数字电路中的优先编码器,锁存器,计数器,时序控制电路,多谐振荡器等单元电路的综合运用。 2、掌握各芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解数字抢答器的组成及工作原理。 5、熟悉数字抢答器的设计与制作。 二、设计步骤 1、画出原理框; 2、根据原理框图,把框图中每个部分电路设计出来,画出电路图; 3、仿真调试; 4、搜集元器件; 5、搭建电路,实现功能。 三、具体设计过程 1、画出原理框图

多路抢答器设计

课程设计报告 学生姓名:刘科学号:2014303010328 学院:电气工程学院 班级: 电自1418 题目: 多路抢答器设计 指导教师:杨修宇职称: 助理实验师 指导教师:张光烈职称: 副教授 2016年 7 月 7日

一.设计要求 设计一台四路抢答器,具体要求如下: (1)抢答开始时,由主持人按下复位开关清除信号,用发光二极管作为输出显示信号标志。 (2)当主持人宣布“抢答开始”后,先按键者相应的发光二极管点亮; (3)有人按键被响应的同时,应有信号发出去锁住其余几个抢答者的电路,不再接收其它信号,直到主持人再次清除信号为止。当达到限定时间时,发出声响以示警告。 (4)在电路中设计一个计时功能电路,要求计时电路按秒显示,最多时限为1分钟,当时间显示一旦到达59秒,下一秒系统自动取消抢答权,信号被自动清除,抢答重新开始。亦可倒计时显示。 二.设计原理及框图 如图1所示为四路抢答器的电路框图。其工作原理为:接通电源后,主持人将开关拨到“开始”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,可以通过按按钮的快慢来决定由谁来回答,按得快的选手的编号显示在电子显示管上,抢答器完成(优先编码判断、编号锁存、编号显示、扬声器提示)。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。当一轮抢答时间结束后而四位选手没有抢答,定时器显示为零。如果再次抢答必须由主持人再次操作“开始”和“开始”状态开关,主持人按下开关后所有的显示及工作状态回到初始状态,以便进行下一次答题。 整个电路框图主要分为抢答电路和倒计时电路两部分,其中抢答器电路由编码器电路,触发器电路,译码器电路,数码管显示电路组成。译码电路用来译出编码,数码显示部分用来显示按下的选手号码。另一部分倒计时电路用来显示选手抢答剩余时间,由减法计数器和时钟振荡电路构成。

多路智能竞赛抢答器设计 数字电路课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多路智能竞赛抢答器设计 初始条件: 优先编码器 74LS148 RS锁存器 74LS279 显示译码器 74LS47 定时芯片 555 计数器 74LS192 74LS90 与门 74LS08 或门 74LS32 与非门 74LS00 七段数码管、蜂鸣器、电容电阻若干 要求完成的主要任务: 1.基本功能 ①设计一个智力竞赛抢答器,可以同时供8名选手或8个代表队参加比赛,他 们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的 编号相对应,分别是S 0、S 1 、S 2 、S 3 、S 4 、S 5 、S 6 、S 7 。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ③抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外要封存输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。 2.扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定,档节目主持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示,同时扬声器发出短暂声响,声响持续0.5S左右。 ②参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

③如果定时抢答时间已到,去没有选手抢答,则本次抢答无效,系统短暂报警,并封存输入电路,禁止选手超时后抢答,时间显示器上显示00。 时间安排: 7.4:理论设计 7.5~7.6:安装调试或仿真 7.7:撰写报告 7.9:答辩 指导教师签名:2011年7月1日 系主任签名: 2011年 7 月 1 日

基于单片机的多路抢答器设计

长沙学院 《单片机原理及应用》 课程设计说明书 题目基于单片机的多路抢答器设计系(部) 电子与通信工程系 专业(班级) 姓名 学号 指导教师 起止日期

《单片机原理及应用》课程设计任务书

长沙学院课程设计鉴定表

目录 目录 目录 (4) 1设计内容与要求 (5) 2 设计方案 (6) 3.电路仿真图 (7) 4.程序框图 (9) 5.心得体会 (10) 6.参考文献: (10)

概要 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参 赛队的输入信号在显示器上输出用控制电路和主持人开关启动报警电路,以上两部分组成主体电路.通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。单片机体积小价格低,应用方便,稳定可靠。单片机将很多任务交给了软件编程去实现,大大简化了外围硬件电路,使外围电路的实现简单方便。单片机系统的硬件结构给予了抢答系统“身躯”,而单片机的应用程序赋予了其新的“生命”,使其在传统的抢答器面前具有电路简单、成本低、运行可靠等特色。对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气选手们都站在同一个起跑线上,体现了公平公正的原则。关键字:抢答电路定时电路报警电路 1设计内容与要求 设计内容 设计一个抢答器,可供位选手抢答,主持人可通过开关复位控制,具有抢答、 设计要求 设计一个抢答器,可以同时供8名选手或8个队伍参加比赛,他们的编号分别是 1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应, 也是给节目主持人设置一个控制开关9,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按钮,编号立即锁 存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外要封存 输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。 抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定,档节目主持人 按下“9”按钮后,同时扬声器发出短暂声, 抢答有效,定时器停止工作,显示器上显示选手的编号,并保持到主持人将系统清零为止。

多路智力竞赛抢答器设计实验报告材料

课程设计报告

多路智力竞赛抢答器设计 目录 一前言 (1) 1设计内容及要求 (1) 2实验内容及方法 (2) 3工作过程简介 (2) 二、正文 (4) 1系统概述 (4) 2单元电路设计方案和原理说明 (4) 抢答器电路设计 (4) 定时电路设计 (6) 3电路的安装与调试 (8) 4心得与体会 (9) 三、元器件明细表 (10) 四、参考文献 (10)

前言 一、设计内容及要求: 设计内容:本课题要求设计一台可供8名选手参加比赛的智力竞赛抢答器。 设计要求: 1.基本功能 (1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间。 (2)参赛选手在设定时间(30秒)内抢答,抢答有效,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效,系统封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

二、实验内容及方法 1.组装调试抢答器电路。 2.设计可预置时间的定时电路,并进行组装和调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。 3.完成定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。 三,工作过程简介 定时抢答器的总体框图(如图1.1)所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

基于80C51单片机的八路抢答器设计分析

专业论文 题目:基于80C51单片机的八路抢答器设 计

摘要:八路智力抢答器是一个可供八个参赛组进行智力竞赛的电路装置,该装置主要是由单片机最小系统、控制电路(八个选手抢答按钮;三个主持人控制按钮;四个修改按钮)、数码显示电路与蜂鸣器电路组成的。单片机(MCU)是目前在电气控制技术中广泛应用的重要元件。它具有体积小,稳定性高,应用范围广,控制能力强,升级改造容易等诸多优点。本论文介绍采用ATMEL公司AT89S52单片机设计八路智能抢答器。软件采用汇编语言编程,汇编语言属于计算机领域的低级语言,具有简明易懂,执行效率高等的优点。智能八路抢答器具有抢答时间与答题时间调整,抢答错误报警提示等功能,可以广泛应用于各类知识竞赛。 关键词:抢答器;单片机;硬件系统;软件编程

基于80C51单片机的八路抢答器设计 一、系统概述与原理方框图 在文中,我对八路抢答器的总体设计及其主要的功能特点进行简单的分析,并给出它的特点,实现的功能以及系统的简单操作,以对单片机及其控制系统的了解。 (一)单片机技术发展的概述与系统问题的提出 目前,单片机正朝着高性能和多品种方向发展,单片机的发展正朝着 CMOS化,低功耗,小体积,大容量,高性能,低价格和外围电路的内装化等 几个方面 发展。近几年,由于某种原因CHMOS技术的进步,大大地促进了单片机的CMOS 化,此种芯片除了低功耗外,还具有功耗的可控性,使单片机可以工作在功 耗精细管理状态,特别是IIC,API等串行总线的引入,可以使单片机的引脚 设计得更少,单片机系统结构更加简化及规范化。 我们设计出的8路抢答器是一种基于MCS-51单片机的硬件和软件设计及 实现方法,这种电路设计具有按键有效提示,输入错误提示,控制报警电路, 在线修改功能等多种功能,保密性强,灵活性高,特别适用于家庭!办公室!学 生宿舍及宾馆等场所。它具有全集成化,智能化,高精度,高性能,高可靠 性和低价格等优点,是一个值得推广的一种方法。接下来我们就对方案与设 计原理方框图进行比较分析。 (二)设计思路与系统组成及主要特点 为了使设计更具有针对性,使用性更强,我对其进行精心的设计,在设 计过程中,我们想到了很多的设计方案。 1.设计思路 设计一个八路抢答器,可同时供8名选手或者8个代表队参加比赛,他 们的编号分别为1——8,各用一个抢答器按钮,按钮的编号与选手的编号相 对应,分别设为S1…S8。节目主持人设置一组控制开关,用来控制系统的清 零和抢答器的开始,修改抢答时间与答题时间,如果想调节抢答时间或答题 时间,按"抢答时间调节"键或"答题时间调节"键进入调节状态。并且抢答器具 有数据锁存和显示的功能,抢答开始,若有选手按动抢答按钮,编号立即锁

多路智力竞赛抢答器的设计与制作

电子线路设计与制作(四/1) 多路智力竞赛抢答器的设计与制作 设计者:陈湘宝赵静 一、设计要求 1、题目:多路智力竞赛抢答器的设计与制作 2、实验目的: 能在设计与制作实验的过程中,结合所学理论知识,进行电子应用电路的设计、组装与调试,以此来学会测试中规模集成电路的逻辑功能。做到:——能查阅手册,了解常用中规模集成电路的逻辑功能。 ——会用中规模集成电路设计出一定功能的组合逻辑电路。 ——能用中规模集成电路及其基本门电路制作出多路抢答器。掌握使用数字电路集成芯片设计一个多路智力竞赛抢答器电路的方法和实践技能,为以后从事生产和科研工作打下坚实的基础。 3、设计内容及要求: (1)基本设计内容 试用中小规模集成电路设计并制作一各多路智力抢答器,指标要求如下: 1.8名选手编号为;1、2……8,各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1、2……8。 2.给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4.振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 (2)设计要求 1.根据基本设计任务的要求,选择抢答器电路设计方案。 抢答器的一般构成框图如图1.1所示。它主要由开关阵列电路、触发锁存电路、编码器、7段显示器几部分组成。 2.设计电路并计算所用元件的参数值,画出多路智力抢答器的原理电路图。 3.安装所设计的电路,按照多路智力抢答器的调试步骤,逐步进行调整与功能测试。 4.撰写实验报告

数电多路抢答器设计

目录 一、设计目的以及要求 二、工作原理概述 三、系统流程方框图 四、各部分原理介绍 4.1 抢答器部分 4.2 倒计时电路部分 4.3 蜂鸣器报警电路部分 五、multisim调试过程与调试结果 5.1 抢答器调试 5.2 倒计时调试 5.3 蜂鸣器调试 六、设计结论 七、设计心得与总结 八、参考文献 九、成员贡献

一、设计目的以及要求 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。过去在举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。人们于是开始寻求一种能不依人的主观意愿来判断的设备来规范比赛。因此,为了克服这种现象的惯性发生人们利用各种资源和条件设计出很多的抢答器,从最初的简单抢答按钮,到后来的显示选手号的抢答器,再到现在的数显抢答器,其功能在一天的趋于完善不但可以用来倒计时抢答,还兼具报警,计分显示等等功能,有了这些更准确地仪器使得我们的竞赛变得更加精彩纷呈,也使比赛更突显其公平公正的原则。 今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求是酒无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样话,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计,本次设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了八路智力竞赛抢答器的设计。 设计要求:设计一个多路抢答器,可供8名选手进行抢答;

相关文档