文档视界 最新最全的文档下载
当前位置:文档视界 › 多路抢答器电路原理详析及其课程设计1_图文(精)

多路抢答器电路原理详析及其课程设计1_图文(精)

多路抢答器电路原理详析及其课程设计1_图文(精)
多路抢答器电路原理详析及其课程设计1_图文(精)

河南科技学院新科学院电子课程设计报告

题目:多路数字抢答器

专业班级:电气工程及其自动化 091 姓名:

时间:

指导教师:

完成日期:2011年 06月 15日

多路数字抢答器设计任务书

1.设计目的与要求

设计一个八位智力竞赛抢答器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能:

(1设计多组参赛的抢答器,每组设置一个抢答按钮;

(2电路具有第一抢答信号鉴别与锁存功能,抢答成功后,显示组别、发出声响;

(3设置犯规电路,对提前抢答或超时抢答的组别,显示组别、发出声响。

2.设计内容

(1画出电路原理图,正确使用逻辑关系;

(2确定元器件及元件参数;

(3进行电路模拟仿真;

(4 SCH 文件生成与打印输出;

(5 PCB 文件生成与打印输出。

3.编写设计报告

写出设计的全过程,附上有关资料和图纸,有总结体会。

4.答辩

在规定时间内,完成叙述并回答问题。

目录

一、引言: (4)

二、总设计方案 ........................................................... 5 2.1设计目

的 .............................................................. 5 2.2总设计框

图 ............................................................ 5 三、抢答器的组成框

图 ..................................................... 6 3.1元器件的功能和作

用 .................................................... 6 3.1.1、十进制可逆集成计数器

74LS192 ....................................... 6 3.1.2、 BCD-七段显示译码器

74LS48 .......................................... 7 3.1.3、不可重复触发集成单稳态触发器

74LS121 ............................... 8 3.1.4、 8线 -3线优先编码器

74LS148 ......................................... 8 3.1.5、 555定时

器 ......................................................... 9 四、抢答器的电路设

计 .................................................... 10 4.1数字抢答器设计原

理 ................................................... 10 4.2单元电路设

计 ......................................................... 11 4.2.1抢答器电

路 ......................................................... 11 4.2.2定时电

路 ........................................................... 12 4.2.3报警电

路 ........................................................... 13 4.2.4时序控制电

路 ....................................................... 13 4.2.5总

图 ............................................................... 14 五、电路设计总结和体

会 .................................................. 15 参考文献: ..............................................................

15 附录 1................................................................... 16 附录2. (17)

【摘要】

抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。我们按照这一要求,并根据多种元器件八路锁存器的功能特点,用 CD4511、 CD4068以及其他常用的电子元器件系列数字集成电路设计出了一数码显示八路抢答器电路,该电路具有成本低、元器件容易得到、路数多、数码直观显示、性能稳定等诸多优点,而且该电路也可作数字集成电路应用的一个范例, 来作为学习使用数字集成电路之用。

抢答器是竞赛问答中一种常用的必备装臵 , 从原理上讲 , 它是一种典型的数字电路 , 其中包括了组合逻辑电路和时序电路。主要由选择电路、锁存电路、复位装臵、编译显示电路等组成。

我们围绕着这条主要思路想出了几个不同的具体方案进行对比分析, 最后用最简洁的电路实现了抢答功能。最终的设计方案的大致思路是这样的:我们利用 8个按钮开关构成选择电路,利用二极管的开关特性将最先的按钮按下时所产生的信号转换成数字信号, 同时经过锁存电路将选择电路进行封锁(即其后按下的按钮无效,不会产生信号 ,然后经过译码电路最终从数码管中显示最先按下的按钮编号。

在这次的课程设计当中, 我们灵活运用 Protel 和 EWB 仿真的知识, 用 PCB 电路制成了抢答器。【关键字】抢答器定时电路报警电路时序控制

一、引言:

各种智力竞赛越来越多,在答题的过程中一般要分为必答和抢答两种。必答有时间的限制,到时间要告警。而抢答则要求参赛者作好充分的准备,等主持人说完题

目,参赛者开始抢答,谁先按钮,就由这个参赛者答题,但是很难确认谁先按的,因此使用抢答器来完成这一功能是很有必要的。

我们这里所设计的抢答器是一种比较简易的抢答器,没有使用特别多的,复杂的元器件。它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。本抢答器的电路主要有四部分组成:数字抢答电路、时序控制电路、报警电路以及可以预制时间的定时电路。其中数字抢答部分有一个 CD4511译码器和 LED 数码管显示器组成,可以将八位抢答者的按钮通过 CD4511译码驱动LED 数码显示管显示出他们最先抢答者的编号。而时序控制电路的功能是当参数选手按抢答器时, 使扬声器发声,这时抢答电路和定时电路停止工作。而且设定的时间到后若无人抢答,则报警电路工作。报警电路是当设定的时间到达后或者有人抢答时,报警电路被输入一个高电平, 这时报警电路开始工作。

二、总设计方案

2.1设计目的

①主持人有开始键和复位键,按下开始键后才能开始抢答,否则犯规。

②用数码管显示,正常抢答后显示抢到的队号,如果犯规则闪烁显示队号

③如果 3秒内没有抢答,则说明该题超时作废,用 0闪烁表示。

④复位键用于恢复犯规或超时状态

2.2总设计框图

说明:上图为该抢答器的总体框图,其工作原理是:在接通电源的情况下,要进行抢答之前, 主持人需要将开关拨到“清除” 状态, 编号指示灯灭。抢答器处于禁止状态,定时器也不会有显示时间:此时,主持人可以将开关拨到“开始”状态,宣布开始抢答开始。定时器进行倒计时, 选手在定时时间内抢答时, 抢答过程完成, 此次抢答结束, 在这个过程中, 优先判断、编号锁存、编号显示、并且扬声器发出报警信号告诉此次抢答结束。如果在规定时间内没有人抢答, 到时间结束时就后发出报警声, 再次抢答无效,比赛也会结束。

三、抢答器的组成框图

3.1元器件的功能和作用

3.1.1、十进制可逆集成计数器 74LS192

图一 74LS192逻辑符号

表一 74LS192功能表

①该器件为双时钟工作方式, CP +是加计数时钟输入, CP -是减计数时钟输入, 均为上升沿触发,采用 8421 BCD码计数。② C r 为异步清 0端,高电平有效。

③ LD 为异步预臵控制端,低电平有效,当 C r =0、 LD =0时预臵输入端 D 、

C 、 B 、

A 的数据送至输出端,即 Q D Q C Q

B Q A =DCBA 。

(M SB

④进位输出和借位输出是分开的。

OC 为进位输出, 加法计数时, 进入 1001状态后有负脉冲输出, 脉宽为一个时钟周期。

OB 为借位输出, 减法计数时, 进入 0000状态后有负脉冲输出, 脉宽为一个时钟周期。

3.1.2、 BCD-七段显示译码器

74LS48

图二 74LS48引脚图

表二 74LS48功能表

①要求输出 0~15时,灭灯输入(BI 必须开始时保持高电平。如果不灭,则动态

灭灯输入(RBI 必须开路或为高电平。

②将一低电平直接加于灭灯输入(BI 时,则不管其他输入为任何电平,所有各段输出都关闭。

③当动态灭灯输入 /动态灭灯输出(BI/RBO开路或者保持高电平而试灯输入为高电平时, 所有各段输出都关闭并且动态灭灯输出 (RBO 处于低电平 (响应条件。

④当灭灯输入 /动态灭等输出(BI/RBO开路或者保持高电平而试灯输入为低电平时,则所有各段都开通。

⑤ BI/RBO是线与逻辑,作灭灯输入(BI 或动态灭灯(RBO 之用,或两者兼用。

3.1.3、不可重复触发集成单稳态触发器 74LS121

图三 74LS121引脚图

3.1.4、 8线 -3线优先编码器

74LS148

图四 74LS148引脚图

表四 74LS148 功能表

3.1.5、 555定时器

(1单稳态触发器

由 555构成的单稳态触发器及工作波形如下图所示,电源接通瞬间,电路有一个稳定的过程,即电源通过电阻 R 向电容 C 充电,当 V 才上升到 2/3Vcc时, Vo 为低电平,放电 BJT T导通,电容 C 放电,电路进入稳定状态。

若触发器输入端施加触发信号 (Vi<1/3Vcc , 触发器发生翻转, 电路进入暂稳态, Vo 输出高电平,且 BJT 截止。此后电容 C 充电至 Vc=2/3Vcc时,电路又发生翻转, Vo 为低电平, T 导通,电容 C 放电,电路恢复至稳定状态。

如果忽略 T 的饱和压降,则 Vc 从零电平上升到 2/3Vcc的时间,即为输出电压Vo 的脉宽 tw , tw=RCln3=1.1RC。

(a 电路图 (b 工作波形

图五由 555定时器构成的单稳态触发器

(2多谐振荡器

由 555定时器构成的多谐振荡器如下图所示,其工作波形如 b 图所示。

接通电源后,电容 C 被充电, Vc 上升,当 Vc 上升到 2/3Vcc时,触发器被复位, 同时放电 BJT T导通,此时 Vo 为低电平,电容 C 通过 R2和 T 放电,使 Vc 下降。当 Vc 下降到 1/3Vcc时, 触发器又被臵位, Vo 翻转为高电平。电容器 C 放电所需的时间为

t PL =0.7R2C

当 C 发电结束时, T 截止, Vcc 将通过 R1、 R2向电容器 C 充电, Vc 有 1/3Vcc 上升到 2/3Vcc所需的时间为

t PH =0.7(R1+R2C

当 Vc 上升到 2/3Vcc时, 触发器又发生翻转, 如此周而复始, 在输出端就得到一个周期的方波,其频率为

F=1.43/(R1+2R2 *C

(a 电路图 (b 工作波形

图六由 555定时器构成的多谐振荡器

四、抢答器的电路设计

4.1数字抢答器设计原理

图七数字抢答器总体框图

说明:上图为该抢答器的总体框图, 其工作原理是:在接通电源的情况下, 要进行抢答之前, 主持人需要将开关拨到“清除” 状态, 编号指示灯灭。抢答器处于禁止状态, 定时器也不会有显示时间:此时, 主持人可以将开关拨到“开始” 状态, 宣布开始抢答开始。定时器进行倒计时,选手在定时时间内抢答时,抢答过程完成,此次抢答结束, 在这个过程中, 优先判断、编号锁存、编号显示、并且扬声器发出报警信号告诉此次抢答结束。如果在规定时间内没有人抢答, 到时间结束时就后发出报警声, 再次抢答无效, 比赛也会结束

4.2单元电路设计

4.2.1抢答器电路

工作原理:

如图, 该电路实现两个功能:一是能够过分辨出选手按键的先后, 并锁存优先抢答者的编号, 同时译码器显示电路显示编号; 二是禁止其他选手之后按键无效。工作过程:当开关处于清除端时, 74LS279的 RS 触发器段均为 0信号,所以四个触发器端均输出为 0,此时 ST 为 0,根据 74LS148功能表可以看出,该芯片处于工作状态。当开关达到“开始”端时,抢答器处于等待工作状态,当有选手按下键时,及有一个输入端为低电平,根据 74LS148功能表可知, Y1, Y2, Y3处于一种输出状态, Yex 输出为0,所以 1Q 输出为 1, 74LS48处于工作状态。根据 2Q , 3Q , 4Q 的输出,译码器将显示第一个按下键的选手号码。并且此时 1Q=1, 使 74LS148的 ST=1, 所以 74LS148处于禁止工作状态, 封锁了其他键的再次输入。因为只有 8名选手,编号从 0000到0111,所以 74LS48 芯片的 A3端不需要用到,所以可以直接臵地。如果没有选手按键的话,七段显示译码器就会一直不亮,直到最后此次比赛结束

4.2.2定时电路

图九可预制时间的定时电路

工作原理:

如图所示,分为两个部分,一是秒脉冲,由 555定时器构成的多谐振荡电路,根据

公式振荡周期为 T=0.7(R1+2R2 C ,可计算出该振荡器的振荡周期为 1秒,由于是矩

形脉冲,所以一个周期内发光二极管会发一次光。另一部分是可预臵时间的减计数器, 对于预臵端可以采用十进制 8421BCD 码设臵,当 555振荡器发出一个脉冲时,

74LS192的 CP-端就接受一个信号, 在 cp 脉冲的上升沿预臵数就开始自减, 当各位

减少到 0时, BO2就会输出一个负脉冲, cp2-就会开始减少 1,然后 74LS192二开始再自减 1,直到预臵数最后变成 00, 最后在 BO2输出一个周期的负脉冲。在时间未到时, BO2一直到是输出正脉冲,除非最后变成 00时,才会输出负脉冲,这就可以作为

定时到的信号,如果是时间到了,输出是零,时间不到,输出是 1。另外,假如有选手按键的话,则最后不会计数器不会因为自减为 00而最后输出负脉冲, 而是应为秒脉冲输出与 1Q 的非相与后当作脉冲输入 74LS192的。当没有选手按键时, 1Q 的非为 0,

所以 cp 脉冲就会停止输入, 时间就会停止, 所显示的时间就是该选手抢答的时间, 但此时的定时到信号还是 1。

4.2.3报警电路

图十报警电路

工作原理:

该报警电路有 555定时器和三极管构成,有 555定时器构成一个多谐振荡器, 其输出信号可以经三极管推动扬声器。 PR 为控制信号,当 PR 为高电平时, 4端接高电平,振荡器正常工作,当为低电平时,振荡器停止工作,不会发声。

因为来一个高电平是该报警电路会发声, 但是只有在一个周期内发声, 这个周期非常短,只是多谐振荡器的一个周期,只有一秒钟,所以中间需要加一个单稳态触发器,根据 555构成的单稳态触发器的功能原理,这样可以延长这个周期,是发声信号加长,该定时器用到的电阻是30 k Ω,电容是 100uf ,根据公式计算得到的周期是 3秒。

4.2.4时序控制电路

图十一时序控制电路

工作原理:

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关拨到 " 开始 " 位臵时, 抢答电路和定时电路进人正常抢答工作状态。

②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。③当设定的抢答时间

4.2.5总图

五、电路设计总结和体会

数字电路课程设计眼看尘埃落定,感觉忍不住要长出一口气。其实,因为自己的数字逻辑基础本来就不是很好,所以真要自己做课程设计,困难真的是很大,所以就和别人一块做, 虽然大家的成果都很相似, 不过我还是很惊喜, 自己是这个成果的二分之一。在这两周里,我们一起到图书馆查资料,借书,上网查东西,还有向别人讨教,真的是很有趣的,不过最重要的是尝试到了合作的乐趣,真正体验到了大家一起交流的乐趣, 我们每人本来只有一个思想,交流后就有了两个思想。还有,和别人交流后,才发现好多问题,知道了一个问题原来还有许多种解法,甚至为这些东西而感到不可思议。同时感觉到了自己在思考问题时自己的疏漏之处, 避免下次在考虑同样问题的时候犯同样的错误。

也许我们做的东西都是大同小异,不过这真的是加入了我们自己的想法,不管怎样,结果怎样已然不再重要,关键是在这几日里,我们体会到了许多东西。

重新投入的振作。我们也在数日里的并肩作战中培养出了无与伦比的默契和深厚的友谊。

在这次课程设计过程中, 我们掌握了书本以外的电子技术知识, 培养了专心致志的工作学习习惯,懂得了相互之间的理解与体谅,可谓获益匪浅。

参考文献:

1、《电子技术基础数字部分》……… . 康华光

2、《电子技术课程设计》……… . 历雅萍、易映萍编高等教育出版社

3、《毕业典析》…………… .. 百度文库

4、《电子技术课程设计》历雅萍、易映萍编高等教育出版社

5、《电子技术课程设计指导》彭介华主编高等教育出版社

6、《电子线路设计、实验、测试》谢自美主编华中理工出版社

7、《经典集成电路 400例》任致程主编机械工业出版社

8、《电子数字基础(数字部分》康华光主编高等教育出版社

附录 1 SCH 图: R20 25 0 CK 100|? CK R21 71 0 100|? CK R22 0 48 100|? U4 A

B C D E F G VCC U2 5V 4 5 3 6 2 1 7 A B C D E F G U10 A B C D E FG U11 17 18 19

20 21 23 14 22 15 9 10 11 12 13 OG OF OE OD OC OB OA ~BI/RBO ~RBI ~LT D C B

A VCC 0 15 14 72 74 76 92 73 75 81 13 12 11 10 9 15 14 49 52 57 59 50 56 58 13 12 11

10 9 15 14 ~LT ~RBI ~BI/RBO 16 OA OB OC OD OE OF OG 74LS48D ~LT ~RBI

~BI/RBO U6 74LS48D OA OB OC OD OE OF OG U7 74LS48D VCC 5V VCC A B C D 4 7 7 1 2 6 3 5 4 7 1 2 6 QA QB QC QD 3 2 6 7 A B C D 1Q1 1Q2 1Q1 1Q2 U3A

74LS279D ~1R2 38 40 39 41 U3B 74LS279D ~1R2 13 12 3 2 6 7 42 44 43 45 13 12

~BO ~CO 4 ~1S1 ~1S2 ~1R1 ~1S3 7 QA QB QC QD ~1S1 ~1S2 ~1R1 ~1S3 ~BO ~CO

3 5

4 26 27 U16A

5 14 15 ~LOAD CLR ~LOAD CLR UP DOWN A B C D 15 1 10 9 11 14 15 1 10 9 11 14 29 VCC U1 74LS148D 5V VCC 24 9 7

6 5 4 A B C D 28 15k|?7400N 2 U16B 4 7400N U17A 7404N 0 0 5V VCC 46 VCC VCC VCC A0 A1 A2 GS EO 5 4 UP DOWN 60 2 3 1 6 5 R14 U8 U9 74LS192D 2 3 1 6 5 D0 D1 D2 D3 D4 D5

D6 D7 EI 5V 47 10 11 12 13 1 2 3 4 5 3 10 Q2 VCC LED1 2N2219 0 R13 R17

100k|?7k|? 61 51 R12 55 200k|? 53 C1 10nF 0 13 VCC 5V A1 VCC RST DIS THR TRI CON GND OUT VCC 5V U12A 74LS00D 12 1 R11 3k|? VCC VCC 5V 37 30 R1 31

R232 R333 R4 R5 R635 R7 R8 34 36 10k|? 10k|? 10k|? 10k|? 10k|? 10k|? 10k|? 10k|? VCC VCC 5V U19A 0 0 U18A 6 7404N 0 7400N C2 100nF 555_VIRTUAL U13A 74LS00D 54 VCC 5V VCC Q1 U5A 11 9 U21A 74LS04D R15 15k|? VCC R19 1k|? 8 VCC 135

U14 OUT 3 74LS11D 2N2219 C4 133 100uF 0 8 U15 138 4 R16 68k|? U23A 7

74LS04D U22A 74LS00N 0 C3 100nF 136 137 7 6 2 5 RST DIS THR TRI CON GND 1 BUZZER 0 100 Hz 0 16

附录 2 PCB 图: 1 14 10 9 8 7 6 10 9 8 7 6 10 9 8 7 6 9 8 2 2 8 2 13 10 7 7 2 1 2 8 7 2 3 12 2 11 6 9 6 9 6 10 4 11 12 5 5 1 10 5 1 5 10 13 4 11 4 1 11 4 1 12 6 9 1 3 14 3 M 13 2 12 3 7 8 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 15 2 13 2 16 1 14 1 14 8 7 1 2 2 1 2 2 9 6 3 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 10 5 1 1 11 4 1 8 7 6 5 12 3 8 7 13 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 14 2 9 6 1 10 5 1 2 3 4 11 4 8 7 6 5 2 12 3 9 8 13 2 8 1 14 7 10 7 8 7 8 7 8 7 14 1 1 2 3 4 9 2 13 6 11 6 9 6 9 6 9 6 1 10 3 12 5 12 5 10 5 10 5 10 5 11 4 11 4 13 4 11 4 11 4 11 4 2 2 2 12 5 10 3 14 3 12 3 12 3 12 3 2 2 2 2 2 2 2 2 13 6 9 2 15 2 13 2 13 2 13 2 14 7 2 2 2 2 2 2 2 2 1 8 16 1 14 1 14 1 14 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 3 2 1 1 2 8 8 1 7 7 2A 1 9 9 6 6 10 10 7 6 5 4 3 2 1 14 13 12 11 10 9 8 1A 2 5 5 11 11 4 1 4 2 1 12 12 3 3 13 13 8 9 10 11 12 13 14 1 2 3 4 5 6 7 14 1 2 2 2 14 1 2 1 17

八路抢答器课程设计报告

数字系统综合设计与应用 题目:多路智力抢答器 姓名:lele 指导教师:钟学斌 班级学号:电信1071 10211807117 学院:武汉理工大学华夏学院 一、多路智力抢答器设计目的和要求: ①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是So、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时红灯亮。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 ②参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,

系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 ·扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示 ②参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 二、设计步骤: (1)开关阵列电路 图1.2所示为8路开关阵列电路,从图上可以看出其结构非常简单。电路中,R1~R8为上拉和限流电阻。当任一开关按下时,相应的输出为低电平,否则为高电平。

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

基于PLC的六路抢答器系统设计

电气及自动化课程设计报告 题目:基于PLC的六路抢答器系统设计 课程:PLC原理与应用 学生姓名: 学生学号: 年级:14级 专业:自动化 班级:2班

指导教师: 机械与电气工程学院制 2017年6月 目录 1课程设计的任务和要求 (1) 1.1课程设计的任务 (1) 1.2课程设计的要求 (1) 2.PLC控制器的原理与组成 (1) 2.1PLC硬件系统 (1) 2.2PLC工作原理 (3) 2.3六人抢答器基本组成 (4) 2.4六人抢答器工作原理 (4) 3六人抢答器系统设计方案制定 (5) 3.1PLC选型 (5) 3.2六人抢答器系统的I/O口分配 (6) 4六人抢答器系统的软件设计 (7) 4.1PLC编程语言 (7) 4.2抢答器系统程序 (7)

4.2.1主持人控制端 (7) 4.2.2抢答成功与抢答犯规指示灯显示 (9) 4.2.3七段数码管显示 (9) 4.2.4蜂鸣器电路 (11) 5六人抢答器系统程序仿真 (12) 5.1抢答成功仿真 (12) 5.2抢答犯规及抢答超时仿真 (12) 5.3加减分及数码管显示 (13) 5.4抢答超时 (14) 6总结及心得体会 (14) 参考文献 (15)

基于PLC的六路抢答器系统设计 机械与电气工程学院自动化专业 1课程设计的任务和要求 1.1课程设计的任务 使用西门子S7-200PLC编写程序实现六路抢答器的系统设计并使用仿真软件进行其功能的实现。 1.2课程设计的要求 (1)主持人控制功能,具有开始抢答按钮和复位按钮; (2)主持人未按下开始抢答按钮时抢答为违规抢答,违规指示灯亮,蜂鸣器响; (3)抢答延时,超过20S无人抢答时此题作废,蜂鸣器长鸣; (4)抢答成功后,抢答成功指示灯亮,数码管显示抢答成功的队伍编号; (5)在抢答成功后,主持人根据回答的正确与否可以对该队伍进行加减分控制; (6)每次正确抢答时,只有第一位按下抢答按钮的队伍为有效抢答。 2.PLC控制器的原理与组成 2.1PLC硬件系统 可编程控制器,英文称ProgrammableLogicController,简称PLC。PLC是基于电子计算机,且适用于工业现场工作的电控制器。它源于继电控制装置,但它不像继电装置那样,通过电路的物理过程实现控制,而主要靠运行存储于PLC内存中的程序,进行入出信息变换实现控制。PLC基于电子计算机,但并不等同于普通计算机。普遍计算机进行入出信息变换,多只考虑信息本身,信息的入出,只要人机界面好就可以了。而PLC则还要考虑信息入出的可靠性、实时性,以及信息的使用等问题。特别要考虑怎么适应于工业环境,如便于安装,抗干扰等问题[1]。

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

基于stm32的四路抢答器课程设计说明书大学论文

课程设计说明书题目:基于STM32的四路抢答器 学院: 年级专业: 学号: 学生姓名: 指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计。 本文实现了一个基于嵌入式STM32单片机的4路抢答器系统设计,本系统设计主要分为硬件设备和软件控制两大部分。外部硬件使用STM32单片机作为控制中心,用4个按键作为抢答输入,抢答开始后,抢答成功者的LED灯标识为红色闪烁,并且显示各抢答输入的时间。 关键词:智能抢答器,STM32,按键输入,数码管显示

目录 摘要 (2) 目录 (3) 第1章绪论 (5) 1.1课题研究的相关背景 (5) 1.2选题的目的和意义 (5) 1.3课题研究的内容 (5) 1.4国内外研究现状 (6) 1.5抢答器目前存在的主要问题 (6) 第2章抢答器的系统概述 (7) 2.1系统的主要功能 (7) 2.2抢答器的工作流程 (7) 2.3STM32的功能及简介 (7) 2.4抢答器的优点及组成 (10) 2.5本章小结 (11) 第3章系统的硬件设计与开发 (11) 3.1系统硬件总体设计 (11) 3.2STM32最小系统 (12) 3.4时钟频率电路的设计 (14) 3.5复位电路的设计 (15) 3.6数码管显示 (16) 3.7键盘电路的设计 (16) 3.8LED电路 (18) 3.9本章小结 (18) 第4章系统的软件设计与开发 (19) 4.4主要程序分析 (20) 4.5本章小结 (29) 第5章总结与展望 (30) 5.1总结 (30)

多路抢答器设计

课程设计报告 学生姓名:刘科学号:2014303010328 学院:电气工程学院 班级: 电自1418 题目: 多路抢答器设计 指导教师:杨修宇职称: 助理实验师 指导教师:张光烈职称: 副教授 2016年 7 月 7日

一.设计要求 设计一台四路抢答器,具体要求如下: (1)抢答开始时,由主持人按下复位开关清除信号,用发光二极管作为输出显示信号标志。 (2)当主持人宣布“抢答开始”后,先按键者相应的发光二极管点亮; (3)有人按键被响应的同时,应有信号发出去锁住其余几个抢答者的电路,不再接收其它信号,直到主持人再次清除信号为止。当达到限定时间时,发出声响以示警告。 (4)在电路中设计一个计时功能电路,要求计时电路按秒显示,最多时限为1分钟,当时间显示一旦到达59秒,下一秒系统自动取消抢答权,信号被自动清除,抢答重新开始。亦可倒计时显示。 二.设计原理及框图 如图1所示为四路抢答器的电路框图。其工作原理为:接通电源后,主持人将开关拨到“开始”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,可以通过按按钮的快慢来决定由谁来回答,按得快的选手的编号显示在电子显示管上,抢答器完成(优先编码判断、编号锁存、编号显示、扬声器提示)。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。当一轮抢答时间结束后而四位选手没有抢答,定时器显示为零。如果再次抢答必须由主持人再次操作“开始”和“开始”状态开关,主持人按下开关后所有的显示及工作状态回到初始状态,以便进行下一次答题。 整个电路框图主要分为抢答电路和倒计时电路两部分,其中抢答器电路由编码器电路,触发器电路,译码器电路,数码管显示电路组成。译码电路用来译出编码,数码显示部分用来显示按下的选手号码。另一部分倒计时电路用来显示选手抢答剩余时间,由减法计数器和时钟振荡电路构成。

六路抢答器论文

六路数字抢答器设计方法 王亚静 摘要:有许多比赛活动中为了准确、公正、直观的判断出第一抢答者,通常设置一台抢答器,通过灯光等手段指示出第一抢答者。同时,还可以设置记分、犯规及奖惩记录等多种功能。电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,开始抢答,如有参赛者按抢答开关,则该组指示灯亮显示出抢答者的组别。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 关键词:第一抢答者 抢答器 锁存 复位 自锁功能 一.设计任务与技术要求 1、设计任务 设计制作一个可供6组选手参加比赛的数字式竞赛抢答器。 2、技术要求 (1) 抢答器同时供6名选手或6个代表队比赛,分别用6个按钮S1、S2、S3、S4、S5、S6表示。 (2) 设置一个系统清除和抢答控制开关S0,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即具有第一个抢答信号的鉴别和数据锁存的功能。抢答开始后,若选手按动抢答按钮,锁存相应编号,相应灯亮起,并封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 二.总体方案设计及电路的工作原理: 1. 总体方案 数字式抢答器原理框图如图1所示。它由主体电路组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能亮起相应灯泡同时横封锁输入电路,禁止其他选手抢答。

图1 2.工作原理 工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号二极管灭灯;主持人将开关置“开始”状态,宣布"开始"抢答器工作。选手抢答时,抢答器完成:优先判断、编号锁存、二极管发光显示。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。计数输入由秒脉冲发生器提供。 三.单元电路的设计及电路图 1.抢答电路 抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供显示电路用;二是要使其他选手的按键操作无效。这里选用6D触发器74LS174,其电路图如图2所示。 图2 其工作原理是: 当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S1),74LS174的输出Q1=1,二极管D1发光。此外,Q1=1,经非门过74HC30输出为高电平,74LS174处于禁止状态,封锁其他按键的输入,保证了抢答者的优先性。如有再次抢答需由主持人将 S0开关重新置“清零”, 6D触发器74LS174的CLR端为低电平,输出端(Q1~Q6)全部为低电平,于是二极管灭灯;然后再进行下一轮抢答。 2.反馈电路 这部分电路是用8与非门74HC30和6个非门构成,电路图如图3.

抢答器课程设计

抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,他的应用场合也随之增加;技术含量大大提升;更加方便可靠。 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 PLC的应用领域已经拓宽到了各个领域,在日常生活中,智能抢答器广泛的应用于各种竞赛和抢答场合。越来越多的抢答器投入市场,可是大部分的抢答器主要采用的是单片机系统;而单片机系统由于稳定性不高。用PLC进行知识竞赛抢答器设计,其控制方便,灵活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案。所以我们设想利用PLC进行抢答器的设计 关键词:抢答器反应时间可编程控制器单片机 PLC

一、引言 (1) 二、系统总体方案设计 (2) 2.1 可编程控制器简介 (2) 2.2 PLC的结构组成及工作原理 (3) 三、PLC控制系统设计 (6) 3.1控制系统选取 (6) 3.2 控制要求分析 (6) 3.3 控制系统I/O分配 (8) 3.4 控制系统程序梯形图 (8) 3.5 控制系统程序语句表 (8) 3.6 控制系统接线图 (8) 四、结论 (9) 五、设计总结 (10) 六、谢辞 (11) 七、附录 八、参考文献

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

多路抢答器的课程设计

多路抢答器课程设计报告 专业:电子信息工程 课程:多路抢答器设计 学生姓名学号:201312700053方秋实 201312700107李炳均 201312700103吴桐光 201312700102钟燏 201312700078孔健 2016年 6月

多路抢答器设计 摘要随着电子技术的飞速发展,基于单片机的控制系统已应用于工业、农业、 电力、电子、智能楼宇等行业,微型计算机作为嵌入式控制系统的主体与核心,代替了传统的控制系统的常规电子线路。 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用STC89C52单片机及外围接口实现的抢答系统,利用单片机的单线程无线循环的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时。同时使数码管能够正确地显示时间,并且给出指令的提示。系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在20S设定;可以显示是哪位选手有效抢答,正确回答后,主持人按下加分按键,基本分是选手分数加10分,选手答错扣10分;回答问题时间倒记时显示,倒计时完后系统自动跳回时钟模式。 关键词:STC89C52;单片机;数码管;抢答器;智能 1 引言 目前各种各样的竞赛越来越多,无论是学校、工厂、军队还是益智性电视节目,其中用到抢答器的概率非常大。目前很多抢答器基本上采用小规模数字集成电路设计,使用起来不够理想。因此设计一款更易于使用和区分度高的抢答器成了非常迫切的任务。现在单片机已进入各个领域,以其功耗小、智能化而著称。所以若利用单片机来设计抢答器,便使以上问题得以解决。针对以上情况,本课程设计出以STC89C52单片机为核心的多路抢答器。它能根据不同的抢答输入信号,经过单片机的控制处理并产生不同的与输入信号相对应的输出信号,最后通过数码管显示相应的路数和答题时间以及各项命令等,使竞赛真正达到公正、公开、公平。

基于PLC的六路抢答器系统设计课程设计

课程设计说明书
题目: 基于 PLC 的六路抢答器系统设计

毕业设计(论文)原创性声明和使用授权说明
原创性声明
本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教
师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加
以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研
究成果,也不包含我为获得
及其它教育机构的学位或学历
而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,
均已在文中作了明确的说明并表示了谢意。
作 者 签 名:
日 期:
指导教师签名:
日 期:
使用授权说明
本人完全了解
大学关于收集、保存、使用毕业设计(论
文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电
子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供
目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制
手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分
或全部内容。
作者签名:
日 期:

学位论文原创性声明
本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研 究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文 不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研 究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完 全意识到本声明的法律后果由本人承担。
作者签名:
日期: 年 月 日
学位论文版权使用授权书
本学位论文作者完全了解学校有关保留、使用学位论文的规定,
同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,
允许论文被查阅和借阅。本人授权
大学可以将本学位
论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩
印或扫描等复制手段保存和汇编本学位论文。
涉密论文按学校规定处理。
作者签名: 导师签名:
日期: 年 月 日 日期: 年 月 日

抢答器课程设计报告

抢答器课程设计报告 我们要掌握抢答器电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。 1、本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2、4名选手编号为1、2、 3、4各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1、2、3、4。 3、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 4、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 本设计主要由COMS系列数字集成电路CD4511、NE555等组成。其工作原理为:接通电源后,将开关拨到”清除”状态,抢答器处于禁止状态,编号显示器不亮;将开关置于“开始”状态,抢答器开始工作。在抢答时,抢答器完成:优先判断、编号锁存、编号显示、蜂呜发音。当一轮抢答之后,如果再次抢答必须再次操作“清除”和“开始”状态开关抢答器才能工作。 1、开关编码电路

电路中,R1、R2、R3、R4、用于分压,当任一开关按下时,相应的输出为高电平,否则为低电平。电路直接把每个开关对应的BCD8421码中为“1”的隔着二极管连到对应的线上,其中二极管的作用是防止短路。当某个开关按下时,与它相连的输出线将为高电平,其它输出线为低电平,输出既为这个开关的8421码。 2、显示电路 这部分电路要求将编码电路送入8421码,译为十进制数并驱动七段数码显示管显示出答题者的编号。CD4511是一块BCD—十进制译码/驱动器,并带有锁存端口。 3、控制电路 这部分电路的作用完成三个任务:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是使其他选手按键操作无效;三是防止提前按键。 按下未按下“开始”按钮前,CD4511BLANKING引脚为低电平,CD4511不工作,可防止抢答者提前按键。当“开始”按下后,当所有抢答者开关均未按下时,锁存器输出全为低电平,该信号作为锁存器使能端LD的控制信号,使锁存器处于等待接收触发输入状态;当任一开关按下时,输出信号中必有一路为高电平,经逻辑或运算后U1A输出为高电平。一但有高电平输入,不管另一输入端状态如何,输出保持高电平不变,这个电平控制CD4511锁存端使其他按键操作无

多路智力抢答器程序

实验四多路智力抢答器 一、实验目的 1.熟悉智力竞赛抢答器的工作原理 2.掌握抢答电路、优先编码电路、锁存电路、定时电路、报警电路、时序控制电路、 译码电路、显示电路及报警电路的设计方法 二、实验任务 基本功能 1.设计一个多路智力竞赛抢答器,同时供8个选手参赛,编号分别为0到7,每个用 一抢答按键。 2.给节目主持人一个控制开关,实现系统清零和抢答的开始。 3.具有数据锁存和显示功能。抢答开始后,如果有选手按下抢答按键,其编号立即锁 存并显示在LED上,同时扬声器报警。此外,禁止其他选手再次抢答。选手编号一直保存到主持人清除。 扩展功能 1.具有定时抢答功能,可由主持人设定抢答时间。当抢答开始后,定时器开始倒计时, 并显示在LED上,同时扬声器发声提醒。 2.选手在规定时间内抢答有效,停止倒计时,并将倒计时时间显示在LED上,同时 报警。 3.在规定时间内,无人抢答时,电路报警提醒主持人,次后的抢答按键无效。 三、方案设计 1.原理框图: 抢答按键优先编码器数据锁存器显示译码抢答显示 主持人 时序控制电路报警电路 控制开关 脉冲产生电路定时电路显示译码定时显示 2.原理简述

定时抢答器的总体框图如上图所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。 定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:①优先缎电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;②扬声器发出短暂声响,提醒节目主持人注意;③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;④控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。 四、电路设计 1.抢答部分 电路功能:一是将抢答选手的编号识别出并锁存显示到数码管上,二是使其他选手按键无效;三是有人抢答时输出时序控制信号,使计数电路停止工作并报警。 原理图: 与其他电路的接口: S:输入,与主持人总控相接,此处控制数码管的清零; /ST:输入,74148的使能控制端(由7400输入); /YEX:输出,报警时序控制(与74121相连); CTR:输出,报警时序控制(与7400相连); 具体原理:该部分主要由74148优先编码器、锁存器74279、译码器7448组成和按键、

《PLC课程设计》(---九路抢答器)指导书

广东工贸职业技术学院 2010~2011学年第二学期 PLC课程设计指导书 题目:九路抢答(PLC可编程控制器应用) 课程名称《PLC及组态课程设计》专业电子信息适用年级 09级 班级 09电信班1、2 指导教师 _侯益坤、伍勤谟 · 一、课程设计的目的 PLC的课程设计是在完成本课程内容的课堂教学和实践之后进行的。目的是通过对一个实际应用课题的设计,初步掌握PLC控制系统的设计方法,从了解设计要求,运用所学知识并查阅有关技术资料进行系统设计,到模拟安装调试,然后整理有关技术资料,编写设计说明书,使学生得到一次系统的训练,从而对本课程理解更深刻,更清楚,更重要的是提高应用理论知识解决实际问题的能力。 课程设计应以培养学生的能力为主,要求学生在独立完成设计任务的同时,注意多方面能力的培养和提高,主要包括以下几方面: (1)综合运用专业及基础知识解决实际工程技术的能力。 (2)独立工作的能力和创造能力。 — (3)查阅技术资料和各种工具书的能力。 (4)工程绘图能力。

(5)撰写技术报告和编制技术资料的能力。 因此,在课程设计教学中,应以学生为主体,让其充分发挥自主性和创造性。教师的作用主要体现在工作方法的指导和思维方法的引导,以及设计技术把关上面。 二、系统方案设计要求说明 在很多竞赛活动中,经常用到抢答器。对抢答器的控制要求是:当多个输入信号输入时,抢答器只接收第一个到来的信号,而不接收后面到来的输入信号并使第一个到来的输入信号相应的灯或铃有反应。 本系统中设有9个抢答输入按钮、一个复位按钮、一个开始按钮,一个七段数码管,一个蜂鸣器,一个3S兰灯,一个5S黄灯,一个红色违规指示灯。 】 本系统可提供九个抢答台,在主持人的主持下,参赛人通过抢先按下按钮回答问题。 在抢答开始前,主持人应按下复位按钮使系统复位,做好抢答准备。 当主持人说开始,并同时按下开始按钮,抢答开始,并限定抢答时间为10s。 若抢答者在抢答开始前抢先输入,则属违规要显示该台台号,同时蜂鸣器以秒的周期响, 红色违规指示以1秒的周期闪烁,以便扣分惩罚。 若在开始之后到3s之内第一个按下抢答输入,由七段显示器显示该台台号,同时3s兰灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应兰灯点亮额外奖励加分。 若在3s之后到5s之内第一个按下抢答输入,由七段显示器显示该台台号,同时5s黄灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应黄灯点亮额外奖励加分。 若在5s之后10s之内第一个按下抢答输入,由七段显示器显示该台台号,蜂鸣器声以秒的周期响。以便答对给予基本加分

八人抢答器的课程设计

《数字电子技术基础》课程设计题目:八路抢答器

一、计设计任务及要求: 1.设计多路竞赛抢答器 2.抢答器至少控制6 人抢答; 3.设置一个主持人控制开关,控制抢答器的开始与清零; 4.抢答器具有锁存和显示第一个抢答者的编号,并禁止其他人抢答的功能; 5.具有30 秒倒计时显示抢答的时间控制,时间到禁止所有人抢答; 二、方案设计及论证: 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。设置一个系统清除和抢答控制开关S,该开关由老师控制。抢答器具有锁存与显示功能。 即学生按动按钮,锁存相应的编号,并在LED数码管上显示。学生抢答实行优先锁

存,优先抢答学生的编号一直保持到老师将系统清除为止。抢答器具有定时抢答功能,且一次抢答的时间由老师设定(如30秒)。当老师启动"开始"键后,定时器进行减计时。学生在设定的时间进行抢答,抢答有效,定时器停止工作,显示器上显示学生的编号和抢答的时间,并保持到老师将系统清除为止。如果定时时间已到,无人抢答,本次抢答无效,系统禁止抢答,定时显示器上显示00。 设计方案简述 1.定时抢答器的总体框图如下图所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当学生按动抢答键时,能显示学生的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时抢答的功能。 2.定时抢答器的工作过程是:接通电源时,老师将开关置于“消除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时器倒计时,当定时时间到,却没有学生抢答时,系统报警,并封锁输入电路,禁止学生超时后抢答。当学生在定时时间按动抢答键时,抢答器要完成以下四项工作:优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;控制电路要对输入编码电路进行封锁,避免其他学生再次进行抢答;控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到老师将系统消零为止,当学生将问题回答完毕,老师操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

多路抢答器课程设计报告详解

课程设计说明书 题目:多路抢答器设计 二级学院机械工程学院 年级专业14级机械设计制造及其自动化学号1401210012 学生姓名曾骏 指导教师洪云 教师职称讲师

目录 摘要 (1) 一、绪论 (1) 1、单片机抢答器的背景 (1) 2、单片机的应用 (2) 3、抢答器的应用 (3) 二、方案设计 (4) 1、总方案设计 (4) 2、基本功能 (4) 3、扩展功能 (5) 三、硬件电路设计 (6) 1、单片机的选择 (6) 2、各模块设计 (7) 2.1、单片机最小系统 (7) 2.2、抢答按键电路 (8) 2.3、显示器电路 (8) 2.4、蜂鸣器音频输出电路 (9) 四、软件设计 (10) 1、程序设计 (10) 2、主程序设计 (11) 五、心得体会 (12) 附录 1.程序清单 (13) 2.硬件图 (23) 六、参考文献 (24)

摘要 此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 本次设计系统实用性强、判断精确、操作简单、扩展功能强等。它的功能实现是比赛开始,主持人读完题之后按下总开关,则计时开始,此时数码管开始进行1s的减计时,直到有一个选手按下抢答按钮,这时对应的数码管上会显示出该选手的编号和抢答所用的时间,同时该选手的报警器也会发出声音,来提示有人抢答本题。如果在规定的30s时间内没有选手做出抢答,则此题作废,即开始重新一轮的抢答。 关键词:单片机、抢答器、数码管、报警器 一、绪论 1、单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。单片机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人脑的作用,要是它出了毛病,那么整个装置就将瘫痪。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词--“智能型”。如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

相关文档
相关文档 最新文档