文档视界 最新最全的文档下载
当前位置:文档视界 › 计算机组成原理试卷及答案-(2)

计算机组成原理试卷及答案-(2)

计算机组成原理试卷及答案-(2)
计算机组成原理试卷及答案-(2)

`

计算机组成原理试题

一、选择题(共20分,每题1分)

1.某机字长8位,采用补码形式(其中1位为符号位),则机器数所能表示的范围是______。

A.-127 ~ 127;

B.-128 ~ +128;

C.-128 ~ +127;

{

D.-128 ~ +128。

2.在_____的计算机系统中,外设可以和主存储器单元统一编址,因此可以不使用I/O指令。

A.单总线;

B.双总线;

C.三总线;

D.以上三种总线。

3.某计算机字长是32位,它的存储容量是64KB.按字编址,它的寻址范围是______。

A.16KB;

B.16K;

C.32K;

D.32KB。

4.中断向量可提供______。

A.被选中设备的地址;

B.传送数据的起始地址;

C.中断服务程序入口地址;

D.主程序的断点地址。

<

5.Cache的地址映象中比较多的采用“按内容寻址”的相联存储器来实现。

A.直接映象;

B.全相联映象;

C.组相联映象;

D.以上都有。

6.总线的异步通信方式______。

A.不采用时钟信号,只采用握手信号;

B.既采用时钟信号,又采用握手信号;

C.既不采用时钟信号,又不采用握手信号;

D.采用时钟信号,不采用握手信号。

7.在磁盘存储器中,查找时间是______。

A.使磁头移动到要找的柱面上所需的时间;

B.在磁道上找到要找的扇区所需的时间;

C.在扇区中找到要找的数据所需的时间。

D.以上都不对。

8.在控制器的控制信号中,相容的信号是______的信号。

%

A.可以相互替代;

B.可以相继出现;

C.可以同时出现;

D.不可以同时出现。

9.计算机操作的最小单位时间是______。

A.时钟周期;

B.指令周期;

C.CPU周期;

{

D.执行周期。

10.CPU不包括______。

A.地址寄存器;

B.指令寄存器IR;

C.地址译码器;

D.通用寄存器。

11.寻址便于处理数组问题。

A.间接寻址;

\

B.变址寻址;

C.相对寻址;

D.立即寻址。

12.设寄存器内容为,若它等于0,则为______。

A.原码;

B.补码;

C.反码;

D.移码。

:

13.若一个8比特组成的字符至少需10个比特来传送,这是______传送方式。

A.同步;

B.异步;

C.并联;

D.混合。

14.设机器字长为32位,存储容量为16MB,若按双字编址,其寻址范围是。

A.8MB;

B.2M;

&

C.4M;

D.16M。

15.寻址对于实现程序浮动提供了较好的支持。

A.间接寻址;

B.变址寻址;

C.相对寻址;

D.直接寻址。

16.超标量技术是______。

A.缩短原来流水线的处理器周期;

B.在每个时钟周期内同时并发多条指令;

C.把多条能并行操作的指令组合成一条具有多个操作码字段的指令;

D.以上都不对。

17.在控制器的控制方式中,机器周期内的时钟周期个数可以不相同,这属于______。

A.同步控制;

B.异步控制;

C.联合控制;

D.局部控制。

18.I/O与主机交换信息的方式中,中断方式的特点是______。

A.CPU与设备串行工作,传送与主程序串行工作;

B.CPU与设备并行工作,传送与主程序串行工作;

C.CPU与设备并行工作,传送与主程序并行工作;

D.CPU与设备串行工作,传送与主程序并行工作。

19.当定点运算发生溢出时,应______ 。

A.向左规格化;

·

B.向右规格化;

C.发出出错信息;

D.舍入处理。

20.在一地址格式的指令中,下列是正确的。

A.仅有一个操作数,其地址由指令的地址码提供;

B.可能有一个操作数,也可能有两个操作数;

C.一定有两个操作数,另一个是隐含的;

D.指令的地址码字段存放的一定是操作码。

二、填空题(共20分,每空1分)

1.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制

补码浮点规格化数对应的十进制真值范围是:最大正数为 A ,最小正数为

B ,最大负数为

C ,最小负数为

D 。

2.在总线复用的CPU中, A 和 B 共用一组总线,必须采用 C 控制的方法,先给 D 信号,并用 E 信号将其保存。

3.微指令格式可分为 A 型和 B 型两类,其中 C 型微指令用较长

的微程序结构换取较短的微指令结构。

4.如果Cache的容量为128块,在直接映象下,主存中第i块映象到缓存第 A 块。

5.I/O和CPU之间不论是采用串行传送还是并行传送,它们之间的联络方式(定时方式)可分为 A 、 B 、 C 三种。

6.设n = 4位(不包括符号位在内),原码两位乘需做 A 次移位,最多做

B 次加法;补码Booth算法需做

C 次移位,最多做

D 次加法。

三、名词解释(共10分,每题2分)

1.异步控制方式

2.向量地址

3.直接寻址

4.字段直接编码

5.多重中断

四、计算题(5分)

[

设浮点数字长为32位,欲表示±6万的十进制数,在保证数的最大精度条件下,除阶符、数符各取1位外,阶码和尾数各取几位按这样分配,该浮点数溢出的条件是什么

五、简答题(15分)

1.某机主存容量为4M×16位,且存储字长等于指令字长,若该机的指令系统具备85种操作。操作码位数固定,且具有直接、间接、立即、相对、基址、变址六种寻址方式。

(5分)

(1)画出一地址指令格式并指出各字段的作用;

(2)该指令直接寻址的最大范围(十进制表示);

(3)一次间址的寻址范围(十进制表示);

(4)相对寻址的位移量(十进制表示)。

2.程序查询方式和程序中断方式都要由程序实现外围设备的输入/输出,它们有何不

$

同(5分)

3.某机有五个中断源,按中断响应的优先顺序由高到低为L0,L1,L2,L3,L4,现要求优先顺序改为L4,L3,L2,L1,L0,写出各中断源的屏蔽字。(5分)

六、问答题(20分)

(1)画出主机框图(要求画到寄存器级);

(2)若存储器容量为64K ×32位,指出图中各寄存器的位数;

#

(3)写出组合逻辑控制器完成 ADD X (X 为主存地址)指令发出的全部微操作命令及节拍安排。

(4)若采用微程序控制,还需增加哪些微操作 七、设计题(10分)

设CPU 共有16根地址线,8根数据线,并用MREQ 作访存控制信号(低电平有效)用WR 作读写控制信号(高电平为读,低电平为写)。现有下列存储芯片:1K ×4位RAM ,4K ×8位RAM ,2K ×8位ROM ,以及74138译码器和各种门电路,如图所示。画出CPU 与存储器连接图,要求:

(1)主存地址空间分配:A000H ~A7FFH 为系统程序区;A800H ~AFFFH 为用户程序区。 (2)合理选用上述存储芯片,说明各选几片,并写出每片存储芯片的二进制地址范围。 (3)详细画出存储芯片的片选逻辑。

2A G 2B G 7Y 0Y G 1, , 为控制端C, B, A 为变量控制端 ……

为输出端74138译码器

计算机组成原理试题5答案

一、选择题(共20分,每题1分)

1.C 2.C 3.B 4.C 5.B 6.A 7.A 8.C 9.A 10.C 11.B 12.D 13.B 14.B 15.C 16.B 17.A 18.B 19.C 20.B 、

二、填空题(共20分,每空1分)

1.A .2127

(1-2

-23

) B .2

-129

C .2

-128

(-2-1-2

-23

) D .-2

127

2.A .地址线 B .数据线 C .分时 D .地址

E .地址锁存

3.A .垂直

B .水平

C .垂直

4.A.i mod128

5.A.立即响应B.异步定时C.同步定时

6.A.2 B.3 C.4 D.5

三、名词解释(共10 每题2分)

1.异步控制方式

答:异步控制不存在基准时标信号,微操作的时序是由专用的应答线路控制的,即控制器发出某一个微操作控制信号后,等待执行部件完成该操作时所发回的“回答”或“终了”信号,再开始下一个微操作。

2.向量地址

答:向量地址是存放服务程序入口地址的存储单元地址,它由硬件形成

3.双重分组跳跃进位

答:n位全加器分成若干大组,大组内又分成若干小组,大组中小组的最高进位同时产生,大组与大组间的进位串行传送。

4.字段直接编码

@

答:字段直接编码就是将微指令的操作控制字段分成若干段,将一组互斥的微命令放在一个字段内,通过对这个字段译码,便可对应每一个微命令,这种方式因靠字段直接译码发出微命令,故又有显式编码之称。

5.多重中断

答:多重中断即指CPU在处理中断的过程中,又出现了新的中断请求,此时若CPU暂停现行的中断处理,转去处理新的中断请求,即多重中断。

四、计算题(共5分)

答:【解】因为216 = 65536

则±6万的十进制数需16位二进制数表示。

对于尾数为16位的浮点数,因16需用5位二进制数表示,即

(16)十= (10000)二,

故除阶符外,阶码至少取5位。为了保证数的最大精度,最终阶码取5位,尾数取32 -1 -1 -5 = 25位。

按这样分配,当阶码大于 +31时,浮点数溢出,需中断处理。]

五、简答题(共15分)

1.(5分)答:

(1)一地址指令格式为(1分)

'

OP 操作码字段,共7位,可反映85种操作;

M 寻址方式特征字段,共3位,可反映6种寻址方式;

A 形式地址字段,共16 –7 – 3 = 6位(1分)

(2)直接寻址的最大范围为26 =64 (1分)

(3)由于存储字长为32位,故一次间址的寻址范围为216 = 65536(1分)

(4)相对寻址的位移量为– 32 ~ + 31 (1分)

2.(5分)答:程序查询方式是用户在程序中安排一段输入输出程序,它由I/O 指令、测试指令和转移指令等组成。CPU 一旦启动I/O 后,就进入这段程序,时刻查询I/O 准备的情况,若未准备就绪就踏步等待;若准备就绪就实现传送。在输入输出的全部过程中,CPU 停止自身的操作。

程序中断方式虽也要用程序实现外部设备的输入、输出,但它只是以中断服务程序的形式插入到用户现行程序中。即CPU 启动I/O 后,继续自身的工作,不必查询I/O 的状态。而I/O 被启动后,便进入自身的准备阶段,当其准备就绪时,向CPU 提出中断请求,此时若满足条件,CPU 暂停现行程序,转入该设备的中断服务程序,在服务程序中实现数据的传送。 ¥

3.(5分)答:(每写对一个屏蔽字1分)

设屏蔽位为“1”时表示对应的中断源被屏蔽,屏蔽字排列如下:

$

六、问答题(共20分)

(1)(5分)

(T0 PC →MAR 1→R

M(MAR)→MDR (PC)+1→PC

T1 T2 MDR →IR OP(IR)→ID

^

T0 Ad(IR)→MAR 1→R T1 M(MAR)→MDR T2

(AC)+(MDR)→AC

(4)(5分)

取指

Ad(CMDR)→CMAR

OP(IR)→微地址形成部件→CMAR 执行

Ad(CMDR)→CMAR

七、设计题(共10分)

(1) 根据主存地址空间分配为:(2分) A 15 … A 11 … A 7 … A 3 … A 0

?

??

111111111110010100000000000001012K ×8位ROM1片

?

??

111111111101010100000000000101011K ×4位RAM2片

?

??

11111

1111

1110

10100000000001101011K ×4位RAM2片

(2)选出所用芯片类型及数量

对应A000H ~A7FFH 系统程序区,选用一片2K ×8位ROM 芯片;(1分) 对应A800H ~AFFFH 用户程序区,选用4片1K ×4位RAM 芯片。(1分) (3)CPU 与存储芯片的连接图如图所示(6分)

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

《计算机组成原理》课后习题答案(唐朔飞第二版)

第一章计算机系统概论 1.什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理2

计算机组成原理 一、单项选择题(本大题共20小题,每小题1分,共20分) 在每小题列出的备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。 1.[X]补=1.000……0,它代表的真值是( )。 A.-0 B.-1 C.+1 2.16. CPU响应中断的时间是( )。 A.一条指令结束; B.外设提出中断; C.取指周期结束。 3.存储字是指( )。 A.存放在一个存储单元中的二进制代码组合 B.存放在一个存储单元中的二进制代码个数 C.存储单元的集合。 4.下列说法中( )是正确的 A.指令周期等于机器周期; B.指令周期大于机器周期; C.指令周期是机器周期的两倍 5.计算机只懂机器语言,而人类熟悉高级语言,故人机通信必须借助( )。, A.编译程序; B.编辑程序; C.连接程序; D.载入程序。 6.补码10110110代表的是十进制负数( )。 A.-74

B.-54 C.-68 D.-48 7.设寄存器位数为8位,机器数采用补码形式(含一位符号位)。对应于十进制数-27,寄 器内容为( )。 A.27H B.9DH C.E5H。 8.堆栈指针SP的内容是( )。 A.栈顶单元内容 B.栈顶单元地址 C.栈底单元内容 D.栈底单元地址 9.单地址指令( )。 A.只能对单操作数进行加工处理 B.只能对双操作数进行加工处理 C.无处理双操作数的功能 D.既能对单操作数进行加工处理,也能在隐含约定另一操作数(或地址)时,对双操 作数进行运算 10.电子计算机的算术/逻辑单元、控制单元及存储器合称为( )。 A.CPU B.ALU C.主机; D.UP 11.挂接在总线上的多个部件()。 A.只能分时向总线发送数据,并只能分时从总线接收数据 B.只能分时向总线发送数据,但可同时从总线接收数据 C.可同时向总线发送数据,并同时从总线接收数据

计算机组成原理模拟试题

计算机组成原理 1.(45.75)10=(___________)16 2.若[X]补=1.0110,则[1/2X]补=___________。 3.若X补=1.1001,按舍入恒置1法舍去末位得__________。 4.运算器的核心部件是__________。 5.动态MOS存储器的刷新周期安排方式有____________、 _____________、_____________。 6.若地址码8位,按字节编址则访存空间可达___________,若地址码10位,则访存空间可达_____________,若地址码20位,则访存空间可达_____________。 7.CPU中用于控制的寄存器有_______________________、 __________________ 和_____________________三种;8.控制器的组成方式可分为______________________和微程序控制器两类。 9.按数据传送方式,外围接口可分为_________________和 __________________。 10.指令中的操作数一般可分为_______操作数和_______操作数。11.申请掌握使用总线的设备,被称为__________。 12.某CRT显示器,分辨率800列╳600行,如果工作在256色模式下,则至少需要_________字节的显示存储器。 选择题: 1、浮点加减中的对阶是() A.将较小的一个阶码调整到与较大的一个阶码相同 B.将较大的一个阶码调整到与较小的一个阶码相同 C.将被加数的阶码调整到与加数的阶码相同 D.将加数的阶码调整到与被加数的阶码相同 2、下列哪一个属于检错纠码() A. BCD码 B. ASCII码 C. 奇偶校验码 D. 8421码 3、指令格式可表示为()和地址码的形态 A.指令码 B. 操作码 C.微指令 D. 寄存器码 4、在不同速度的设备之间传送数据( )

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理试题2

一、填空题 1.按IEEE754规范,一个浮点数由、、三 个域组成,其中的值等于指数的加上一个固定。 2.在进行浮点加法运算时,需要完成为、、、、 和等步骤。 3.对阶时,使阶向阶看齐,使阶的尾数向移位, 每移一位,其阶码加一,直到两数的阶码相等为止。 4.提高加法器运算速度的关键是。先行进位的含义是。 5.现代计算机的运算器一般通过总线结构来组织。按其总线数不同,大体有、 和三种形式。 6.浮点运算器由和组成,它们都是运算器。只要求能 执行运算,而要求能进行运算。 7.两个BCD码相加,当结果大于9时,修正的方法是将结果,并产生进位输出。 8.设有七位二进制信息码0110101,则低位增设偶校验码后的代码为。 二、单项选择题 1.某数在计算机中用8421BCD码表示为0111 1000 1001,其真值是 A.789D B.789H C.1887D D.11110001001B 2.若某数x的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法 是码 A.原B.补C.反D.移 3.一个8位二进制整数,采用补码表示,且由3个“1”和5个“0”组成,则其最小 值是 A.-127 B.-32 C.-125 D.-3 4.下列数中最小的数为 A.101001B B.52Q C.29D D.233H 三、简答题 1.说明定点运算器的主要组成 2.说明双符号位法检测溢出的方法 四、计算与分析题 1.将十进制数(24/512)表示成浮点规格化数,要求阶码4位(含符号),移码表示; 尾数6位(含符号),用补码表示 2.写出十进制数-5的IEEE754编码 3.教材P69-5.1:已知x和y,用变形补码计算x+y,同时指出结果是否溢出 1)X=0.11011,y=0.00011 4.教材P70-7.1:试用原码阵列乘法器、补码阵列乘法器、直接补码并行乘法计算x ×y 1)X=0.11011,y=-0.11111 5.教材P70-8.1:用原码阵列除法器计算x÷y 1)X=0.11000,y=-0.11111 6.教材P70-9.1:设阶码3位,尾数6位,按浮点运算方法,完成以下取值的[x+y]、 [x-y]运算 1)X=2-011×0.100101,y=2-010×(-0.011110) 一、填空题 1.符号位S,阶码E,尾数M,阶码E,真值e,偏移值 2.零操作数检查,对阶,尾数求和,结果规格化,舍入处理,溢出处理 3.小,大,小,右,右 4.降低进位信号的传播时间,低有效位的进位信号可以直接向最高位传递 5.单总线结构,双总线结构,三总线结构

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理试题及答案

计算机组成原理试题及答案 一、选择题(每题3分,共36分) 1、下列数中最小的数是()。B A (1010010)2 B (00101000)BCD C (512)8D(235)16 2、某机字长16位,采用定点整数表示,符号位为1位,尾数为15位,则可表示的最大正整数为(),最小负整数为()。 A A +(215-1),-(215-1) B +(215-1),-(216-1) C +(214-1),-(215-1) D +(215-1), -(1-215) 3、运算器虽由许多部件组成,但核心部分是() B A 数据总线 B 算术逻辑运算单元 C 多路开关 D 累加寄存器 4、在定点运算器中,无论采用双符号位还是采用单符号位,都必须要有溢出判断电路,它一般用()来实现 C A 与非门 B 或非门 C 异或门 D 与或非门 5、立即寻址是指() B A 指令中直接给出操作数地址 B 指令中直接给出操作数 C 指令中间接给出操作数 D 指令中间接给出操作数地址 6、输入输出指令的功能是() C A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送 C 进行CPU与I/O设备之间的数据传送 D 改变程序执行的顺序 7、微程序控制器中,机器指令与微指令的关系是() D A 一段机器指令组成的程序可由一条微指令来执行 B 一条微指令由若干条机器指令组成 C 每一条机器指令由一条微指令来执行 D 每一条机器指令由一段用微指令编成的微程序来解释执行 8、相对指令流水线方案和多指令周期方案,单指令周期方案的资源利用率和性价比()A A 最低 B 居中 C 最高 D 都差不多 9、某一RAM芯片,其容量为1024×8位,除电源端和接地端外,连同片选和读/写信号该芯片引出腿的最小数目为() B A 23 B 20 C 17 D 19 10、在主存和CPU之间增加Cache的目的是()。 C A 扩大主存的容量 B 增加CPU中通用寄存器的数量 C 解决CPU和主存之间的速度匹配 D 代替CPU中寄存器工作 11、计算机系统的输入输出接口是()之间的交接界面。 B A CPU与存储器 B 主机与外围设备 C 存储器与外围设备 D CPU与系统总线 12、在采用DMA方式的I/O系统中,其基本思想是在()之间建立直接的数据通路。B A CPU与存储器 B 主机与外围设备 C 外设与外设 D CPU与主存 二、判断题(每题3分,共15分) 1、两个补码相加,只有在最高位都是1时有可能产生溢出。(×) 2、相对寻址方式中,操作数的有效地址等于程序计数器内容与偏移量之和(√) 3、指令是程序设计人员与计算机系统沟通的媒介,微指令是计算机指令和硬件电路建立联系的媒介。(√)

计算机组成原理第二版课后习题答案全唐朔飞

计算机组成原理第二版课后习题答案全唐朔飞第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要?解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。计算机硬件:指计算机中的电子线路和物理装置。计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么?解:冯?诺依曼计算机的特点是:P8 ? ? ? ? ? ? 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成;指令和数据以同同等地位存放于存储器内,并可以按地址访问;指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行;机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、

存储字、存储字长、存储容量、机器字长、指令字长。解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。

计算机组成原理习题及答案54686word版本

计算机组成原理习题及答案54686

概论 一、选择题: 1.1946年研制成功的第一台电子数字计算机称为_B_。A.EDVAC B.ENIAC C.EVNAC D.EINAC 2.完整的计算机系统应包括__D_____.A..运算器、存储器、控制器 B.外部设备和主机 C.主机和存储器 D.配套的硬件和软件设备 3.计算机系统中的存储器系统是指__D____.A.RAM存储器 B.ROM存储器 C.内存储器 D.内存储器和外存储器 4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_____. A..节约元件 B.运算速度快 C.物理器件性能所致 D.信息处理方便 5.计算机硬件能直接执行的只有_B___. A.符号语言 B.机器语言 C.机器语言和汇编语言 D.汇编语言 二、填空题: 1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__. 2.在计算机术语中,将运算器和控制器合在一起称为_CPU__,而将_CPU__和存储器合在一起称为__主机__. 3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件. 4.计算机系统中的存储器分为_内存储器_和_外存储器_.在CPU执行程序时,必须将指令存放在_内存储器__中. 5.输入、输出设备以及辅助存储器统称为_外部设备___. 6.计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位. 7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息. 三、衡量计算机性能的基本指标有哪些? 答:1.基本字长 2.数据通路宽度 3.运算速度:包括CPU时钟频率和数据传输率 4.存储器的容量:包括主存储器的容量和外存储器的容量 5.外围设备及其性能 6.系统软件配置运算方法和运算器 一、选择题: 1.在机器数中,__B____的零的表示形式是唯一的. A.原码 B.补码 C.反码 D.原码和反码 3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B__码. A.原 B.补 C.反 D.移 4.运算器虽有许多部件组成,但核心部分是__B____. A.数据总路线 B.算术逻辑运算单元 C.多路开关 D.通用寄存器 5.在定点二进制运算器中,减法运算一般通过__D_____来实现. A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器

计算机组成原理试题及答案26476

中国自考人——700门自考课程永久免费、完整在线学习快快加入我们吧! 浙江省2003年7月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(在每小题的四个备选答案中,选出一个正确答案,并将正确答案的序号填在题干的括号内。每小 题1分,共20分) 1.迄今为上,计算机中的所有信息仍以二进制方式表示,其理由是( )。 A.运算速度快 B.信息处理方便 C.节约元件 D.物理器件性能决定 2.在计算机中能直接被接受的语言为( )。 A.机器语言 B.汇编语言 C.高级语言 D.数据库语言 3.设二进制代码内容为01111111,其原码对应的真值是( )。 D.+127 4.2对应的十进制数是( )。 运算器的主要功能是进行( )。 A.算术运算 B.逻辑运算 C.累加器运算 D.算术运算和逻辑运算 6.若RAM芯片的存储容量为1M×8bit,则该芯片的地址线的数目是( )。 7.某计算机字长32位,存储容量为1MB,若按字编址,它的寻址范围是( )。 8.通常人们把依据某种需要而编制的指令序列称为计算机中的( )。 A.程序 B.文件

C.记录 D.集合 9.在计算机的指令系统中,通常采用多种确定操作数的方式。当操作数的地址由某个指定的变址寄存器内容与位移量相加得到时,称为( )。 A.直接数 B.间接寻址 C.变址寻址 D.相对寻址 10.某型计算机系统的微处理器的主频为100MHZ,四个时钟周期组成一个机器周期,平均三个机器周期完成一条指 令,则它的机器周期为( )ns。 11.在计算机中的寄存器里的值有时是地址,这只有计算机的( )能识别它。 A.时序信号 B.判断程序 C.指令 D.译码器 12.程序计数器属于( )。 A.控制器 B.运算器 C.存储器 D.输入输出接口 13.计算机CPU芯片中的总线属于( )总线。 A.外部 B.内部 C.系统 D.板级 14.在串行传输时,按顺序传输表示一个数据所有二进制的脉冲信号,每次一位。通常用第一个脉冲信号表示 ( )。 A.最高有效位 B.最低有效位 C.码元 D.无符号数 15.一级汉字有3755个,假设每个汉字字模采用16×16点阵,并放在主存中,则约占( )字节。 16.在微型计算机系统中,硬盘和主机进行数据交换一般采用( )方式。 A.通道控制 (直接存储器访问) C.程序中断控制 D.程序直接控制 17.周期挪用方式常用于( )方式的输入/输出中。

相关文档
相关文档 最新文档