文档视界 最新最全的文档下载
当前位置:文档视界 › 路流水灯控制电路资料

路流水灯控制电路资料

路流水灯控制电路资料
路流水灯控制电路资料

一、概述

随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯不断变化

闪烁。LED,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市灯由于其丰富的灯光色彩建筑物已经成为一种时尚。

但目前市场上各式样的LED灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有彩灯控制器进行改进。流水彩灯控制器在我们日常生活中有重要的应用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的流水彩灯控制器是其中较简单的,但这是复杂设计的基础。

设计要求:

1、在选择器件时,应考虑成本。

2、根据技术指标,通过分析计算确定电路和元器件参数。

3、画出电路原理图(元器件标准化,电路图规范化)。

技术指标:

1、用中规模计数器设计该12路流水灯控制电路;

2、要求每盏灯的点亮时间介于1s~1.2s之间;

3、要求用555定时器设计时钟脉冲,12路流水灯采用12个发光二极管代替。

二、方案设计

1原理框图

图1 12路流水灯设计原理图

该电路主要由555定时器、74LS161计数器和74HC154译码器和LED 发光二极管。由555定时器构成脉冲发生器,并由LED 发光二极管监视电路是否工作;将74SL161接成二进制计数器,74SL154的12个输出端依次与排成一排的12个LED 发光管相接。161芯片的QC 、QD 端接与非门然后与161的LOAD 端相接;且161的LOAD 端通过非门与154芯片的G1与G2相接。取74SL161的QD 、QC 、QB 、QA 接到74SL154的地址控制端D 、C 、B 、A , 12个发光二极管按一定方向循环亮灭。

2各模块组成及功能分析

1、振荡电路:由一个555芯片与滑动变阻器,电阻和电容组成。周期可调,控制计数器。

2、计数器:由一个74LS161芯片与两个非门组成,输出信号至译码器,控制LED 灯的亮灭的顺序。

3、译码器:由一个74HC154芯片组成,为4-16译码器,输出来自555芯片的信号到LED 灯。

4、显示部分:由12个发光二极管和电阻组成,通过发光二极管的亮灭情况判断电是否达到设计要求。

555多谐振荡电路

74LS161计数部分

LED 显示部分

74HC154译码电路部分

三、电路设计

1 555多谐振荡电路

多谐振荡电路由LMC555定时器、一个滑动变阻器、一个电阻、两个电容组成。555定时器是一种多用途的数字模拟混合集成电路,利用它可以方便的构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活方便,所以555芯片在波形的产生与变化、测量与控制等多种领域得到广泛应用。本次课设的多谐振荡电路在这次课程设计中,LMC555定时器用来产生脉冲信号。LMC555定时器的管脚图如图2所示:

图2 555定时器管脚555定时器内部主要由以下几个部分组成:

1、电阻分压器:由3个5kΩ的电阻组成。

2、电压比较器:由C1与C2组成,当控制输入端悬空时,C1与C2的基准电压分别是1/3V CC与2/3V CC。

3、基本RS触发器:由两个与非门G1与G2构成,对两个比较器输出的电压进行控制。

4、放电三极管VT:VT是集成极开路的三极管,VT的集成极做定时器的引出端D。

5、缓冲器:由G3与G4构成,提高电路的负载能力。

引脚功能:

1脚位接地端;2脚是低电平触发器入端;3脚输出端;4脚复位端;5脚是电压控制器;6脚是高电平触发器入端;7脚是放电端;8脚是电源端。

由555定时器构成的谐振荡电路如图3所示:

图3 555多谐振荡电路

555定时器构成多谐振荡电路,电路输出可以得到一个周期性的矩形脉冲,其周期为:

T=T1+T2=(R1+2R2)C1ln 2

由于1s50%。C2为滤波电容,起滤波作用,一般取C2=0.01μF。

接通电源后,Vcc经R1,R2给电容C充电。由于电容上电压不能突变,电源Vc=0,当Vc上升到大于Vcc/3时,RD=1,SD=1,基本RS触发器不变,即Q仍为高电平,当Vc上升到略大于2Vcc/3时,RD=0,SD=1,基本触发器置零,Q为低电平。这时Q=1,使内部放电管饱和导通,于是电容C经内部放电管和R2放电,Uc按指数规律减小。

当Vc下降略小于Vcc/3时,内部比较器A1输出高电平,A2输出低电平,基本RS 触发器置1,输出高电平。这时,Q=0,内部放电管截止。于是C结束放电并重新开始充电。如此循环不止,输出端就得到一系列的矩形脉冲。

2 74LS161计数部分

计数部分主要由161芯片,一个与非门和一个反向器组成。因为在课设中需要一个十进制计数器,因此采用了74LS161芯片作为计数器,用来控制LED灯的亮灭顺序。

74LS161的引脚图如图4所示:

图4 161芯片引脚图

74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能。74161型四位同步二进制可预置计数器的外引线排列图及其逻辑符号,其中CLR(RD)端是直接清零端,LOAD (LD)端是预置数控制端,A(A0)、B(A1)、C(A2)、D(A3)是预置数据输入端,ENP(EP)和ENT(ET)是计数控制端,QA(Q0)、QB(Q1)、QC(Q2)、QD(Q3)是计数输出端,RCO是进位输出端。74161型计数器的功能表如表1所示

表1 74LS161功能表

由表1可知,74LS161具有以下功能:

1、异步清零:CLR(RD)=0时,计数器输出被直接清零,与其他输入端的状态无关。

2 、同步并行预置数:在CLR(RD)=1条件下,当LOAD(LD)=0且有时钟脉冲CP的上升沿作用时,A(A0)、B(A1)、C(A3)、D(A4)输入端的数据d3、d2、d1、d0 将分别被 QA(Q0)、QB(Q1)、QC(Q2)、QD(Q3)所接收。

3、保持:在CLR(RD)=LOAD(LD)=1条件下,当ENT(ET)·ENP(EP)=0,不管有无CLK(CP)脉冲作用,计数器都将保持原有状态不变。需要说明的是,当ENP=0,ENT=1时,进位输出RCO也保持不变;而当ENT=0时,不管ENP状态如何,进位输RCO=0。

4、计数:当CLR=LOAD=ENP=ENT=1时,74161处于计数状态。

由于本次课设需要用到组合逻辑运算,因此需要一个74LS00芯片,其引脚图如图5所示:

图5 74LS00芯片引脚图

真值表如表2所示:

表2 74LS00真值表

A B Y

0 0 1

0 1 1

1 0 1

1 1 0

反相器74LS04引脚图以及功能表如下图所示:

图6 74LS04引脚图

表3 74LS04功能表

A Y

0 1

1 0

74LS161芯片构成的译码电路部分如图7所示:

图7 74LS161译码电路部分

置数端A、B、C、D分别置0。2接脉冲信号,同555振荡器的3相接,1、7、10接电源。QA、QB、QC、QD端接154芯片的A、B、C、D端,QC、QD端通过与非门与LOAD

端相接;LOAD端通过反相器与154芯片的G1与G2相连。

3 74LS154译码部分与LED显示部分

该部分分主要由一个74HC154芯片和12个发光二极管以及电阻构成。74HC154的引脚图如下图所示:

图8 74HC154引脚图

74HC154 功能简述: 74HC154 4线-16 线译码器/解调器

1、将4个二进制编码输入译成16个彼独立的输出之一;

2、将数据从一个输入线分配到16个输出的任意一个而实现解调功能;

3、输入箝位二极管简化了系统设计;

4、与大部分TTL和DTL电路完全兼容。

74154这种单片4线—16线译码器非常适合用于高性能存储器的译码器。当两个选通输入G1和G2为低时, 它可将4个二进制编码的输入译成16个互相独立的输出之一。实现解调功能的办法是:用4个输入线写出输出线的地址,使得在一个选通输入为低时数据通过另一个选通输入。当任何一个选通输入是高时,所有输出都为高。

功能表如表4所示:

表4 74HC154功能表

引脚端符号名称及功能

1,2,3,4,5,6,7,8,9,10,11,13,14,15,16,17 O0~O15 输出低电平

18,19 G1,G2 使能输入(低电平)23,22,21,20 A~D 地址输入

12 GND 接地

24 Vcc 接电源电压

74HC154及LED灯构成的译码显示部分如图9所示:

图974HC154译码及LED显示部分

引脚端A、B、C、D分别接来自74LS161的QA、QB、QC、QD端,G1,G2端通过反相器与161芯片的LOAD端相接,1~13引脚接电阻与发光二极管,二极管另一端接4V电

源电压。

四、性能测试

1 多谐振荡电路的测试

仿真电路图如图10所示:

图10 多谐振荡电路测试图

1、周期为1.278s时多谐振荡器输出的波形图如下:

图11 多谐振荡电路输出波形图

2、改变滑动变阻器阻值,让周期落在1~1.2s之间,此时输出的波形图如下:

图12 多谐振荡电路输出波形图

2 电路整体测试

第一盏灯亮,其余的灯不亮,如图13所示:

图13 整体测试电路

第四盏灯亮,其余的灯不亮,如图14所示:

图14 整体测试电路

第九盏灯亮,其余的灯不亮,如图15所示:

图15 整体测试电路

第十二盏灯亮,其余的灯都不亮,如图16所示:

图16 整体测试电路

所有的灯都不亮,处于全灭状态,如图17所示:

图17 整体测试电路

五、结论

电路的设计能完成基本功能的实践,使用的芯片数量少,设计思路清晰明了。但是在两个星期的课设过程中我也遇到了不少的问题,比如第一次连电路的时候因为把LED灯接反导致没看到预期的结果。第二次因为串联的电阻阻值过大导致LED灯不亮。而且在老师的帮助下,我改正了我原来电路的不足之处,我原来的设计方案是用两个138芯片代替154芯片进行译码,然而结果并不尽人意,在运行过程中出了不少问题,不能达到预期效果,后来多亏了老师,才能顺利完成课设。

通过本次课程设计,让我了解了12路流水灯的基本原理与设计理念。更让我明白具备扎实的理论基础是非常重要的,如果理论知识不够强,随意一点小的差错就可能导致电路不能达到预期的效果。而且,通过这次课程设计,充分的将理论知识与实践知识相结合,也提高了我的查阅资料的水平,让我的经验,能力得到了锻炼。

经过了这次课设,也让我对于电路产生了兴趣,我从中学到了将整体的功能分成若干部分一一实现的简化电路的方法,这对我们平时生活,学习也很有帮助。我认为,老师之所以让我们去做课设,就是为了锻炼我们的自主学习能力和实践动手能力。

最后,再次对关心我的老师,帮助过我的同学表示衷心的感谢!!

参考文献

[1] 童诗白,华成英编. 模拟电子技术基础[M].北京:高等教育出版社

[2] 朱定华主编,电子电路测试与实验.[M]北京:清华大学出版社

[3] 路勇主编,电子电路实验及仿真。[M]北京:北方交通大学出版社

[4] 康华光主编,电子技术基础数字部分〈第五版〉.高等教育出版社

[5] 阎石主编,数字电子技术基础.高等教育出版社

[6] 周巍,黄雄华主编,数字逻辑电路实验设计仿真,电子科技大学出版社

[7] 包兴,胡明主编,电子器件导论,北京理工大学出版社

[8] 王港元主编,电工电子实践指导,江西科学技术出版社

[9] 吴立新主编,实用电子技术手册,机械工业出版社

[10] 陈永甫主编,新编555集成电路应用800例,电子工业出版社

[11] 阎有运主编,电工电子实践系列教程----电子技术实践,中国矿业大学出版社

[12]艾永乐,付子义主编,数字电子技术基础,中国电力出版社

[13] 江晓主编,数字电子技术,西安电子科技大学出版社

附录I 总电路图

图18 总电路图

附录II 元器件清单

序号编号名称型号数量

1 U1 译码器 74HC154DW 1

2 U2 与非门 74LS00D 1

3 U3 555定时振荡器 LMC555CM 1

4 U4 计数器 74LS161N 1

5 U5 反相器 74LS04D 1

6 R1~R14 电阻25k 100Ω 14

7 C1 C2 电容 22μF 0.01μ

F

2

8

LED 发光二极管 LED

12

9

Vcc 电源电压

5V

1

10

R3 滑动变阻器 50k 1

CD4017流水灯电路设计

CD4017流水灯电路设计 摘要:随着LED技术的不断发展以及LED在低功耗、长寿命、环保等方面的优势,LED应用领域逐渐增多。同时,许多国家在看到LED巨大的市场潜力后,纷纷出台各项鼓励措施大力推动LED在各领域中的应用。目前,LED的应用已经从最初的指示灯应用转向更具发展潜力的显示屏,景观照明、背光源、汽车车灯、交通灯、照明等领域,LED应用正呈现出多样化发展趋势。 本次毕业设计就是用小功率LED作为发光体替代实验室中价格昂贵的钠光灯或白炽灯。并利用555定时器、可变电阻普通电阻、电解电容以及普通电容构成可调驱动电路,驱动CD4017计数器构成的译码电路,使LED依次循环发光,从而组成循环流水灯。 关键词: CD4017 555定时器 LED

目录 设计任务和要求 (3) 1.引言 (4) 2.总体设计方案选择与说明 (5) 2.1 方案选择 (5) 2.2 电路工作原理: (5) 3.单元硬件设计说明 (5) 3.1 555定时器 (6) 3.2 自激多谐振荡器 (10) 3.3 十进制计数/分频器CD4017 (11) 3.3.1 CD4017内容说明: (11) 3.3.2 CD4017十进制计数器内部电路图: (12) 3.3.3 CD4017时序波形图: (13) 3.3.4 CD4017引脚图如下: (14) 3.3.5 CD4017引脚功能: (14) 3.4 发光二极管(LED) (15) 3.4.1 LED 特点 (13) 3.4.2 LED光源的特点 (16) 3.5 元件明细表 (17) 4.软件说明 (18) 4.1 Protel99简介 (18) 4.2 Proteus简介 (19) 5.安装调试方法 (19) 5.1 安装方法 (19) 5.2 调试方法 (20) 6.总结 (20) 7.致谢 (21) 8.参考文献 (22) 附录一 (22) 附录二 (24) 附录三 (25) 附录四 (26)

利用8255A芯片实现流水灯闪烁设计

目录 摘要 (2) 第1章利用8255A芯片实现流水灯闪烁设计的概述 (2) 第2章三种方案的论述与最终方案的确定 (2) 2.1第一种方案的论述 (2) 2.2第二种方案的论述 (5) 2.3第三种方案(最终方案)的论证 (10) 第3章测试结果及体会心得 (13) 第4章致谢 (14) 第5章参考文献 (14) 附录1 方案一Proteus仿真电路效果图 (15) 附录2 方案一源程序代码 (16) 附录3 方案二Proteus仿真电路效果图 (18) 附录4 方案二源程序代码 (19) 附录5 方案三(最终方案)Proteus仿真电路效果图 (20) 附录6 方案三(最终方案)源程序代码 (21)

摘要: 8255A是一种通用的可编程并行I/O接口芯片(Programmable Peripherial Interface),它是为Inter系列微处理器设计的配套电路,也可用于其它微处理器系统中。通过对它进行编程,芯片可工作于不同的工作方式。此次课程设计的目的就是利用端口和8255协同工作来实现LED显示功能,对8255A芯片进行编程使流水灯左移或右移,通过延时程序使流水灯进行顺序点亮。通过这次课程设计掌握8255A的功能特点、工作原理以及显示器接口的基本原理与方法技术。 关键词: 8086芯片AT89C51单片机8255A芯片LED流水灯 第1章利用8255A芯片实现流水灯闪烁设计的概述 流水灯在日常的生活中有着广泛的应用,例如,许多楼面上的彩灯广告就是应用了流水灯设计。此次的课程设计的题目是利用了端口和8255A协同工作来实现LED显示功能,编写程序,使用8255的A口和B口均为输出,接8个或16个发光二极管,实现流水灯的显示效果。在实验中8255A的A和B两个端口不能同时赋值,从而我们可以用通用寄存器BX对所需要赋值的数据进行存储,因为BX可以分从高8位寄存器BH和低8位寄存器BL两部分进行独立的操作,我们用寄存器BH对A口进行赋值,用寄存器BL对B口进行赋值,通过延时一段时间再对BH 和BL进行移位和输出,实现了流水灯的效果。 第2章三种方案的论述与最终方案的确定 2.1第一种方案的论述 第一种方案,我们使用了8086CPU芯片与8255A芯片一起实现了流水灯闪烁的设计,同时还使用了地址锁存器74LS373芯片。74ls373是常用的地址锁存器芯片,它是一个是带三态缓冲输出的8D触发器,在单片机系统中为了扩展外部存储器,通常需要一块74ls373芯片。在方案一中,当8086CPU的引脚ALE(地址锁存允许信号,输出,高电平有效,用作地址锁存器74LS373的锁存控制信号)处于下降沿时将8086CPU输出的地址信息进行锁存,以定义8255A的工作方式。下面先对74LS373芯片进行简介: 1.地址锁存器74LS373的内部电路与工作原理

三极管流水灯电路设计

三极管流水灯电路设计 王雅 20111041105;韦梦娜 20111041107 摘要:3组12只LED流水灯是特别针对电子装配与调试技能设计出来的,值得学习和电路分析。本文分析了该流水灯电路的特点及其电路工作原理的说明。 关键字:3组12只LED流水灯;电路设计;循环。 1 引言 随着科学技术的发展,电力电子设备与人们的工作、生活的关系日益密切。各种小套件层出不穷,功能多样。本文所设计的电子制作可以说是电子初学者学习电子的最佳入门制作!其制作方式容易,趣味横生,更能提高初学者的动手能力!让初学者在制作学习中感受电子技术带来的乐趣! 2 系统的功能描述 这款3组12只LED流水灯具有制作容易、有趣易学的特点,电路焊接成功后,装入电池,即可正常工作,3组12只发光二极管便会被轮流点亮,不断的循环发光,达到流动的效果。 3 设计原理 3.1 电路工作原理说明: 本电路是由3只三极管组成的循环驱动电路。每当电源接通时,3只三极管会争先导通,但由于元器件存在差异,只会有1只三极管最先导通。这里假设V1最先导通,则V1集电极电压下降,使得电容C2的左端下降,接近0V。由于电容两端的电压不能突变,因此此时V2的基极也被拉到近似0V,V2截止,V2的集电极为高电压,故接在它上面的发光二极管LED5-LED8被点亮。此时V2的高电压通过电容C3使V3基极电压升高,V3也将迅速导通,因此在这段时间里,V1、V3的集电极均为低电压,因此只有LED5-LED8被点亮,LED1-LED4、LED9-LED12熄灭。但随着电源通过电阻R3对C2的充电,V2的基极电压逐渐升高,当超过0.7V时,V2由截止状态变为导通状态,集电极电压下降,LED5-LED8熄灭。与此同时,V2的集电极下降的电压通过电容C3使V3的基极电压也降低,V3由导通变为截止,V3的集电极电压升高,LED9-LED12被点亮。接下来,电路按照上面叙述的过程循环,3组12只发光二极管便会被轮流点亮,不断的循环发光,达到流动的效果。改变电容C1、C2、C3的容量可以改变循环速度,容量越小,循环速度越快。电源使用2节5号干电池即可。 3.2元件清单: 3.3 电路图

流水灯电路的制作

流水灯电路的制作 一、概述: 随着电子技术的快速发展尤其是数字技术的突飞猛进,多功能流水灯凭着简易,高效,稳定等特点得到普遍的应用。在各种娱乐场所、店铺门面装饰、家居装潢、城市墙壁更是随处可见,与此同时,还有一些城市采用不同的流水灯打造属于自己的城市文明,塑造自己的城市魅力。目前,多功能流水灯的种类已有数十种,如家居装饰灯、店铺招牌灯等等。所以,多功能流水灯的设计具有相当的代表性。 多功能流水灯,就是要具有一定的变化各种图案的功能,主要考察了数字电路中一些编码译码、计数器原理,555定时器构成时基电路,给其他的电路提供时序脉冲,制作过程中需要了解相关芯片(NE555、CD4017)的具体功能,引脚图,真值表,认真布局,在连接过程中更要细致耐心。 二、电路原理图 三、电路工作原理 多功能流水灯原理电路图如上图所示。原理电路图由振荡电路、译码电路和光源电路三部分组成。本文选用的脉冲发生器是由NE555与R2、R3及C1组成的多谐振荡器组成。主要是为灯光流动控制器提供流动控制的脉冲,灯光的流动速度可以通过电位器R3进行调节。由于R3的阻值较大,所以有较大的速度调节范围。灯光流动控制器由一个十进制计数脉冲分配器CD4017和若干电阻组成。 CD4017的CP端受脉冲发生器输出脉冲的控制,其输出端(Q0~Q9)将输入脉冲按输入顺序依次分配。输出控制的脉冲,其输出控制脉冲的速度由脉冲发生器输出的脉冲频率决定。10

个电阻与CD4017的10个输出端Q0~Q9相连,当Q0~Q9依次输出控制脉冲时10个发光二极管按照接通回路的顺序依次发光,形成流动发光状态,即实现正向流水和逆向流水的功能。电源电路所采用的电源为。 四、板的设计 五、元器件清单 六、电路的组装与调试 1、电路的组装方法和步骤 (1)筛选元器件。对所有购置的元器件进行检测,注意它们的型号、规格、极性,应该保质量。 (2)按草图在PCB板上组装并焊接。 要求:①元器件布局整齐、美观,同类型元器件高度一致;

单片机流水灯实验报告

流水灯实习报告 一、实验原理 单片机通过P0口连接锁存器74ls273,P0同时作为低八位地址,实验板内P2口连接74ls138,任意一个输出连接74ls273片选,再将74ls273接八个LED 灯,通过软件控制对74ls273送入显示数据就可以按要求显示了。 二、硬件原理图 三、实验程序 ORG 00H AJMP START ORG 001BH AJMP INT ORG 0100H START: MOV SP,#60H MOV TMOD,#10H MOV TL1,#00H MOV TH1,#4CH MOV R0,#00H MOV R1,#20 SETB TR1 SETB ET1 SETB EA

INT: PUSH ACC PUSH PSW PUSH DPL PUSH DPH CLR TR1 MOV TL1,#B0H MOV TH1,#3CH SETB TR1 DJNZ R1,EXIT MOV R1,#20 MOV DPTR,#DATA MOV A,R0 MOVC A,@A+DPTR MOV DPTR,#8000H Movx @DPTR,A INC R0 ANL 00,#07H EXIT: POP DPH

POP PSW POP ACC RETI DATA: DB 05H,0AH,50H,0A0H,55H,0AAH,0FFH,0H END 四、实验功能 以实验机上74LS273做输出口,接八只发光二极管,编写程序,使开机后第一秒钟L1,L3亮,第二秒钟L2,L4亮,第三秒钟L5,L7亮,第四秒钟L6,L8亮,第五秒钟L1,L3,L5,L7亮,第六秒钟L2,L4,L6,L8亮,第七秒钟八个二极管全亮,第八秒钟全灭,以后又从头开始,L1,L3亮,然后L2,L4亮……一直循环下去. 五、实验总结 通过这次课程设计,我拓宽了知识面,锻炼了能力,综合素质得到较大提高。而安排课程设计的基本目的,是在于通过理论与实际的结合、人与人的沟通,进一步提高思想觉悟和领悟力。 尤其是观察、分析和解决问题的实际工作能力。它的一个重要功能,在于运用学习成果,检验学习成果。运用学习成果,把课堂上学到的系统化的理论知识,尝试性地应用于实际设计工作,并从理论的高度对设计工作的现代化提出一些有针对性的建议和设想。检验学习成果,看一看课堂学习与实际工作到底有多大距离,并通过综合分析,找出学习中存在的不足,以便为完善学习计划,改变学习内容与方法提供实践依据。实际能力的培养至关重要,而这种实际能力的培养单靠课堂教学是远远不够的,必须从课堂走向实践。这也是一次预演和准备毕业设计工作。通过课程设计,让我们找出自身状况与实际需要的差距,并在以后的学习期间及时补充相关知识,为求职与正式工作做好充分的知识、能力准备,从而缩短从校园走向社会的心理转型期。课程设计促进了我

多功能多路流水灯控制电路的设计仿真与制作

附件1: 学号:0121209310130 课程设计 题目多功能多路流水灯控制电路的设 计仿真与制作 学院信息工程学院 专业电子信息工程 班级电信1201 姓名鲁玲 指导教师孟哲 2014 年 6 月20 日

课程设计任务书 学生姓名:鲁玲专业班级:电信1201 指导教师:孟哲工作单位:信息工程学院 题目: 多功能多路流水灯控制电路的设计仿真与制作 初始条件: 集成译码器、计数器、555定时器、移位寄存器、LED和必要的门电路或其他器件。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具 体要求) 1、课程设计工作量:1周内完成对数字频率计的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个具有16路(或矩阵)LED不同显示方式或显示图形的控制电路。 错误!未找到引用源。不同显示方式的控制可以是自动结合按键手控等。 ③确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分 电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告 书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1)第1-2天,查阅相关资料,学习设计原理。 2)第3-4天,方案选择和电路设计仿真。 3)第4-5天,电路调试和设计说明书撰写。 4)第6天,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日

系主任(或责任教师)签名:年月日

前言 (2) 1 设计方案 (3) 1.1 设计意义 (3) 1.2 设计目的 (3) 1.3 原理图及工作原理 (3) 2 电路板焊接技术介绍 (4) 2.1 焊接操作要领 (4) 2.2 注意事项 (5) 2.3.焊接调试 (6) 3 电路板的安装与制作 (6) 3.1 确定电路板整体布线图 (6) 3.2 555振荡电路 (6) 3.3 74LS191计数部分 (7) 3.4 74LS138译码电路和LED显示部分 (7) 3.5 5V直流稳压电源电路 (7) 4 电路板的调试 (8) 4.1 调试方法和步骤 (8) 4.2 出现的问题及处理方法 (8) 5 电路实拍图 (10) 6 总结及心得体会 (12) 7 元件清单 (13) 8 参考文献 (14) 附件 (15)

用单片机控制的LED流水灯设计(电路、程序全部给出)

1.引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文笔者用AT89C51单片机自制了一款简易的流水灯,重点介绍了其软件编程方法,以期给单片机初学者以启发,更快地成为单片机领域的优秀人才。 2.硬件组成 按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。AT89C51单片机是美国ATM EL公司生产的低电压、高性能CMOS 8位单片机,具有丰富的内部资源:4kB闪存、128BRAM、32根I/O口线、2个16位定时/计数器、5个向量两级中断结构、2个全双工的串行口,具有4.25~5.50V的电压工作范围和0~24MHz 工作频率,使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。其具体硬件组成如图1所示。 图1 流水灯硬件原理图 从原理图中可以看出,如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED的点亮和熄灭的方法同LED1。因此,要

基于单片机的LED流水灯设计

基于单片机的LED流水灯设计 设计任务 1掌握MCS-51系列8051、8255的最小电路及外围扩展电路的设计方法 2了解单片机数据转换功能及工作过程 3设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示4完成主要功能模块的硬件电路设计 5用proteus软件完成原理电路图的绘制 一设计方法 本课题使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED 的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到

“流水”效果了。 二方案论证与比较 2.1循环移位法 在上个程序中我们是逐个控制P1端口的每个位来实现的,因此程序显得有点复杂,下面我们利用循环移位指令,采用循环程序结构进行编程。我们在程序一开始就给P1口送一个数,这个数本身就让P1.0先低,其他位为高,然后延时一段时间,再让这个数据向高位移动,然后再输出至P1口,这样就实现“流水”效果啦。由于8051系列单片机的指令中只有对累加器ACC中数据左移或右移的指令,因此实际编程中我们应把需移动的数据先放到ACC中,让其移动,然后将ACC移动后的数据再转送到P1口,这样同样可以实现“流水”效果。具体编程如下所示,程序结构确实简单了很多。 2.2查表法 上面的两个程序都是比较简单的流水灯程序,“流水”花样只能实现单一的“从左到右”流方式。运用查表法所编写的流水灯程序,能够实现任意方式流水,而且流水花样无限,只要更改流水花样数据表的流水数据就可以随意添加或改变流水花样,真正实现随心所欲的流水灯效果。我们首先把要显示流水花样的数据建在一个以TAB为标号的数据表中,然后通过查表指令“MOVC A,@A+DPTR”把数据取到累加器A中,然后再送到P1口进行显示。具体源程序如下,TAB标号处的数据表可以根据实

电子电路分析与检测课程标准

【课程名称】电子电路分析与检测 【适用专业】高等职业教育应用电子技术专业和电气自动化技术专业 一、课程定位 1.课程性质 本课程为应用电子技术专业和电气自动化技术专业职业技能基础课程。 2.课程任务 通过本课程学习培养学生电子元件识别与检测、电子电路装配、焊接与故障排除等方面的基本技能,使学生具备电子电路分析与应用、电子产品加工与管理能力,能胜任电子行业产品生产、开发等工作岗位的技术与管理工作。 3.课程衔接 本课程的前序课程为《实用电工技术》,后续课程为《单片机应用系统的设计与制作》和《电子技术综合实训》。 二、学习目标 通过本课程学习,理解电子器件和电子电路,能够根据实际要求完成项目电路的设计、制作、调试,培养学生基本专业技能、积极参与意识、责任意识、协作意识和自信心,使教学过程更有目的性和针对性。养成良好的沟通能力与团队协作精神,具有安全文明的工作习惯、良好的职业道德、较强的质量意识和创新精神。具体应具备以下能力: 1.能熟练使用常见仪器仪表。 2.能够正确测试、选择和使用电子元器件。 3.各种电子手册及资料的阅读能力,把英语作为分析技术资料的辅助工具。 4.电子电路的识图与分析能力。 5.电路安装设计与焊接能力。 6.电路测试方案设计能力和测试数据分析能力。 7.电路故障排除能力。 8.具有电子识图与绘图能力。 9.具有较强的自学能力、理解能力与表达能力。 10.与人沟通和交流能力并具有良好的职业道德与敬业精神。 三、课程设计 本课程为职业技能课程,进行课程设计时以职业能力培养为重点,在课程设计中与行业企业合作进行基于行动导向的课程开发与设计,根据行业企业发展需要和完成职业岗位实际工作任务所需要的知识、能力、素质要求,选取教学内容,并为学生可持续发展奠定良好的基础,充分体现职业性、实践性和开放性的要求。 本学习领域的学习情境是以工作过程为导向,以典型任务为基点,综合理论知识、操作技能和职业素质为一体的思路设计的。通过实施10个学习情境,完全体现了电子电路分析与检测这门课程的教学特色,将职业行动领域的工作过程融合在项目训练中。

嵌入式系统流水灯,按键,定时器实验报告

嵌入式系统应用 实验报告 姓名: 学号: 学院: 专业: 班级: 指导教师:

实验1、流水灯实验 1.1实验要求 编程控制实验板上LED灯轮流点亮、熄灭,中间间隔一定时间。 1.2原理分析 实验主要考察对STM32F10X系列单片机GPIO的输出操作。 参阅数据手册可知,通过软件编程,GPIO可以配置成以下几种模式: ◇输入浮空 ◇输入上拉 ◇输入下拉 ◇模拟输入 ◇开漏输出 ◇推挽式输出 ◇推挽式复用功能 ◇开漏式复用功能 根据实验要求,应该首先将GPIO配置为推挽输出模式。 由原理图可知,单片机GPIO输出信号经过74HC244缓冲器,连接LED灯。由于74HC244的OE1和OE2都接地,为相同电平,故A端电平与Y端电平相同且LED灯共阳,所以,如果要点亮LED,GPIO应输出低电平。反之,LED灯熄灭。 1.3程序分析 软件方面,在程序启动时,调用SystemInit()函数(见附录1),对系统时钟等关键部分进行初始化,然后再对GPIO进行配置。 GPIO配置函数为SZ_STM32_LEDInit()(见附录2),函数中首先使能GPIO 时钟: RCC_APB2PeriphClockCmd(GPIO_CLK[Led], ENABLE); 然后配置GPIO输入输出模式: GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP; 再配置GPIO端口翻转速度:

GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; 最后将配置好的参数写入寄存器,初始化完成: GPIO_Init(GPIO_PORT[Led], &GPIO_InitStructure)。 初始化完成后,程序循环点亮一个LED并熄灭其他LED,中间通过Delay()函数进行延时,达到流水灯的效果(程序完整代码见附录3)。 实验程序流程图如下: 硬件方面,根据实验指南,将实验板做如下连接: 1.3实验结果

利用电位器改变流水灯速度控制程序

#include #include #include #include unsigned int x; unsigned int y; unsigned int mode = 1; unsigned int AD_value; main() { bit key_mode1 = 1; bit key_mode2 = 1; PORT_INI(); CLK_ICKR|=0x01; //开启内部HSI while(!(CLK_ICKR&0x02));//HSI准备就绪 CLK_SWR=0xe1; //HSI为主时钟源 CLK_CKDIVR=0x00; _asm("rim");//开总中断 TIM1_CR1 = 0b10000100; TIM1_PSCRH = 0x00; //定时时间0.6S TIM1_PSCRL = 1; //20分频 TIM1_ARRH = 0xEA; TIM1_ARRL = 0x60;//自动重装载寄存器 TIM1_IER|=0X01; //中断使能寄存器 TIM1_CNTRH = 0X00; TIM1_CNTRL = 0X00; TIM1_EGR |=0X01; //软件产生更新事件,让预分频立即有效。TIM1_SR1 = 0; //状态寄存器 TIM1_CR1 |=0X01; //TIM1_RCR=0; ADC_CR1 = 0x00; //分频系数为4 单次转换模式 ADC_CR2 = 0x00; //非外部触发数据右对齐 ADC_CSR = 0x05; //禁止转换结束中断设置转换通道ADC_CR1 |= 0x01; //第一次写1是从低功耗模式唤醒

LED流水灯设计

单片机课程设计报告 LED流水灯的设计 专业: 姓名:学号: 姓名:学号: 姓名:学号: 2015年月日

目录 LED流水灯的设计0 第一章绪论1 设计目的1 设计任务1 设计方法1 第二章设计内容与所用器件1 基本功能1 LED彩灯错误!未定义书签。 循环移位法2 第三章硬件系统设计2 单片机时钟电路2 第四章软件设计2 汇编语言和C语言的特点及编程 2 LED显示原理3 第五章系统调试与存在的问题4 程序下载4 硬件调试5 软件调试5 总结5 参考文献 6 LED流水灯的设计 [摘要]:当今社会,随着人们物质生活的不断提高,电子产品已经走进了家家户户,无论是生活或学习,还是娱乐和消遣几乎样样都离不开电子产品,大型复杂的计算能力是人脑所不能胜任的,而且比较容易出错。计算器作为一种快速通用的计算工具方便了用户的使用。计算器可谓是我们最亲密的电子伙伴之一。本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发对计算器设计做了详细的分析和研究。 单片机由于其微小的体积和极低的成本,广泛的应用于家用电器、工业控制等领域中。在工业生产中。单片微型计算机是微型计算机称单片机,特别适用于控制领域,故又称为微控制器。 本系统就是充分利用了8051芯片的I/O引脚。系统以采用MCS-51系列单片机Intel8051为中心器件来设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示,并实现循环的速度可调。 [关键字]:单片机技术;系统设计;LED流水灯

第一章绪论 设计目的 通过本次课题设计,应用《单片机原理及应用》等所学相关知识及查阅资料,完成简易LED流水灯系统设计,以达到理论与实践更好的结合、进一步提高综合运用所学知识和设计的能力的目的。 通过本次设计的训练,可以使我在基本思路和基本方法上对基于MCS-51单片机的嵌入式系统设计有一个比较感性的认识,并具备一定程度的设计能力。 设计任务 在本次课程设计中,主要完成如下方面的设计任务: 1、掌握MCS-51系列8051的最小电路及外围扩展电路的设计方法; 2、了解单片机数据转换功能及工作过程; 3、设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示; 4、完成主要功能模块的硬件电路设计。 设计方法 本课题使用STC89C52RC单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。 如果要让接在口的LED1亮起来,那么只要把口的电平变为低电平就可以了;相反,如果要接在口的LED1熄灭,就要把口的电平变为高电平;同理,接在~口的其他7个LED的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。 由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到“流水”效果了。 第二章设计内容与所用器件 基本功能 利用STC89C52RC作为主控器组成一个LED流水灯系统,实现8个LED霓虹灯的左、右循环显示。 可选器件 51系列单片机、电容C104、、18?和1K的电阻、LED灯、按键、晶振等。 总体框架图

左右来回循环的流水灯实验报告

青 岛 科 技 大 学 微机原理与接口技术综合课程设计(报告) 题 目 __________________________________ 指导教师__________________________ 学生姓名__________________________ 学生学号__________________________ _______________________________院(部)____________________________专业________________ 班 ______年 ___月 ___日 直流电机控制综合实验 周艳平 宋雪英 01 信息科学技术学院 计算机科学与技术0961 2012 12 27

摘要 (2) 1、单片机概述 (2) 2、仿真软件介绍 (2) 3、需求分析 (2) 一、课程设计目的 (3) 二、课程设计要求 (3) 三、实验内容 (3) 1、设计任务与要求 (3) 2、系统分析 (3) 1).硬件电路设计(画出原理图、接线图) (4) 2)软件框图 (5) 3、用keil建项目流程 (7) 4、程序清单 (7) 4、系统调试 (9) 四、设计总结(结论) (10)

摘要 近年来,随着电子技术和微型计算机的发展,单片机的档次不断提高,起应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到广泛的应用、成为现代电子系统中最重要的智能化的核心部件。而AT89C51就是其中一种,它是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMO8位微处理器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。本课程设计介绍一种LED小灯控制系统的设计方法,以单片机作为主控核心,与按键、排阻、电阻、电容等较少的辅助硬件电路相结合,利用软件实现对LED灯进行控制。能够通过按键控制8个LED小灯从左到右依次点亮。 关键字:单片机、LED流水灯 1、单片机概述 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微 型计算机简称单片机,特别适用于控制领域,故又称为微控制器。 通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处 理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合, 便可成为一个单片机控制系统。 单片机经过1、2、3、3 代的发展,目前单片机正朝着高性能和多品种方向发展,它 们的CPU 功能在增强,内部资源在增多,引角的多功能化,以及低电压底功耗。 2、仿真软件介绍 (1).Keil uv3 运行Keil uv3 新建工程:菜单“Preject->New Preject”,保存为*.uv2,选择芯片型号,copy否 新建文件:菜单“File->New”,保存为*.c,编写程序 将源文件添加到工程:在左侧project workspace的source group1点右键选择addfile加入*.c 设置工程:点菜单栏上的,选Target,设晶振值;选Output,点create Hex file以生成少些文件;选Debug,选择软件仿真(simulator)或硬件仿真(Keil Monitor)方式。 编译链接:点菜单栏上的进行编译,或点菜单栏上的进行编译链接,或点菜单栏上的进行重新编译链接,或点菜单栏上的停止编译。 编译链接后生成*.hex文件,可烧写到单片机。 (2).Proteus 使用Proteus仿真 点击单片机,在Program Files处选择*.hex文件,OK,进行仿真 RESPACK--8 排阻,就是好多电阻连载一起,有一个公共端,1端为公共端接VCC(上拉)或地(下拉) 一般接在51单片机P0口,因P0口内没有上拉电阻,不能输出高电平,所以要接上拉电阻。 3、需求分析

51单片机LED流水灯拖尾效果

高级流水灯--水滴效果(渐变带拖尾效果)实现和讲解 简介 学习嵌入式第一个例子通常都是控制一个LED亮灭,然后是花样繁多的流水灯,但不管灯的花样如何变化,单个LED的亮度没有变化,只有亮、灭两个状态,本章我们实现如何控制LED的亮度。 1 什么是PWM 脉冲宽度调制(Pulse Width Modulation,简称PWM),是利用微处理器的数字输出来对模拟电路进行控制的一种技术。 在本章的应用中可以认为PWM就是一种方波。比如图1: https://www.docsj.com/doc/7a3748451.html,/bbs_upload782111/files_48/ourdev_701979FVHE6E.png (原文件名:120611_0.png) 图1 方波 是周期为10ms,占空比为60%的PWM。 占空比:高电平在一个周期之内所占的时间比率。 2 硬件设计 在例说51单片机的第三章,我们讲过如何控制开发板上LED的亮灭。首先译码器输出端LEDS6为低,T10导通,给8个LED供电,然后通过缓冲器8个输出端BD0~BD7的控制LED的亮灭(低亮高灭)。https://www.docsj.com/doc/7a3748451.html,/bbs_upload782111/files_48/ourdev_701980ZDAXQ9.png (原文件名:120611_1.png) 图2 LED硬件连接 如果BD口输出高低不断变化,则LED会闪烁;如果这种高低电平变化非常快,由于人的视觉暂留现象,LED就会出现不同的亮度。 3 软件设计 3.1 PWM能否控制亮度 下面我们就用实践验证PWM是否能够控制LED的亮度,测试代码如下: 程序清单L1:验证PWM能否控制LED的亮度 1 #include 2 #include "my_type.h" 3 #include "hw_config.h" 4 5 6 void main(void) 7 { 8 u8 i = 0; 9 10 //使能独立LED的供电,即LEDS6输出低电平 11 LEDEN = 0; 12 ADDR0 = 0; 13 ADDR1 = 1; 14 ADDR2 = 1; 15 ADDR3 = 1;

单片机跑马灯(流水灯)控制实验报告

单片机实验报告 姓名: 学号: 一、 实验实现功能: 1:计数器功能 2:流水灯 二、 具体操作: 1、计数器功能 数码管的动态显示。每按一次K2键计数器加1通过数码管显示出来,计数器可以实现从0计数到9999。 2、流水灯 当在计数器模式下的时候按下K3键时程序进入跑马灯模式,8个小灯轮流点亮每次只点亮一个,间隔时间为50ms 。 三、 程序流程图 开始 定时器T0 设置初值,启动定时器, 打开中断 复位 Key2按下 中断关闭 计数器模式 计数器加1 Key3按下 流水灯模式 数码管显示数字加1 跑马灯点亮间隔50ms Key1按下中断打开

四、程序 #include typedef unsigned char uint8; typedef unsigned int uint16; //类型定义 sbit P2_1 = P2^1; sbit P2_2 = P2^2; sbit P2_3 = P2^3; sbit P2_4 = P2^4; //位声明四个数码管开关 sbit Key2 = P3^2; sbit Key3 = P3^3; //位声明2个按键K2和K3 sbit Ledk = P2^0 ; //LED 开关 void delay(uint16 i); //延时函数声明 void refresh (); // 数码管刷新函数声明 void liushuideng(); //流水灯函数声明 uint8 number[] = {0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8, 0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; //数码管的真值表 uint8 out[4] = {0}; // 数组变量 uint16 counter=0; //用作计数器的变量 uint16 Time_counter=0; //用作定时器的变量 void main() //主函数 { TMOD = 0x01; //定时器0,工作方式一 TH0 = 0xFC; TL0 = 0x18; //定时器初值使每次循环为1ms TR0 = 0; //定时器0开始作 ET0 = 0; // 定时器中断关 EA = 0; // 关中断 while(1) //计数器模式 { Ledk =1 ; //led开关关 out[0]=number[counter%10]; //取个位 out[1]=number[counter%100/10]; //十位 out[2]=number[counter%1000/100]; //百位 out[3]=number[counter/1000]; //千位 if (!Key2) //计数器加1 { ++counter; //自加 out[0]=number[counter%10]; //取个位 out[1]=number[counter%100/10]; //十位 out[2]=number[counter%1000/100]; //百位 out[3]=number[counter/1000]; //千位

花样流水灯设计

单片机课程设计 2014年 6月 15日 课 程 单片机课程设计 题 目 花样流水灯 院 系 电气工程及其自动化系 专业班级 1112班 学生姓名 温亿锋 学生学号 201111631227 指导教师 张瑛

一丶任务 设计一款以AT89C51单片机作为主控核心,按键控制电路、流水灯显示电路以及单片机最小系统等模块组成的核心主控制电路。 二丶设计要求 通过发光二极管显示不同的花样(至少有六种花样),并且可以通过按键来控制流水灯的速度。 三丶设计方案 本方案主要是通过对基于单片机的多控制、多闪烁方式的LED流水灯循环系统的设计,来达到本设计的要求。其硬件构成框图如下图所示,以单片机为核心控制,由单片机最小系统(时钟电路、复位电路、电源)、按键控制电路、LED 发光二极管和5V直流电源组成。 单片机流水灯循环控制系统硬件框图 此设计方案中单片机的P1口接5路按键控制电路,实现流水灯花型的切换功能;单片机的P3.7引脚接上一个按钮开关以实现对流水灯闪烁频率的控制,即实现了快慢两种节拍实现花型的变换;单片机上的P2口接八路LED发光二极管组成流水灯电路,显示流水灯循环情况。 四丶系统硬件设计 4.1 直流稳压电源电路

对于一个完整的电子设计来讲,首要问题就是为整个系统提供电源供电模块,电源电路的稳定可靠是系统平稳运行的前提和基础。电子设备除用电池供电外,还采用市电(交流电网)供电。通过变压、整流、滤波和稳压后,得到稳定的直流电。直流稳压电源是电子设备的重要组成部分。本项目直流稳压电源为+5V。 直流稳压电源的制作一般有3种制作形式,分别是分立元件构成的稳压电源、线性集成稳压电源和开关稳压电源。下图为稳压电源采用的是三端集成稳压器7805构成的正5V直流电源。 三端固定式集成稳压电源电路图 AT89C51单片机的工作电压范围:4.0V---5.5V,所以通常给单片机外接5V 直流电源。此处用3节1.5V的干电池供电。 4.2 单片机最小系统 要使单片机工作起来,最基本的电路的构成由单片机、时钟电路、复位电路等组成,单片机最小系统如图所示。 时钟电路:本系统采用单片机内部方式产生时钟信号,用于外接一个12MHz 石英晶体振荡器和2个30pF微调电容,构成稳定的的自激振荡器,其发出的脉冲直接送入内部的时钟电路。 复位电路:确定单片机工作的起始状态,完成单片机的启动过程。单片机系统的复位方式有上电自动复位和手动按键复位。本设计采用手动按键复位,该复位方式同样具有上电自动复位功能。

十八路心形流水灯设计报告

十八路心形流水灯 ——设计报告 目录 一、摘要 ............................................................. 错误!未定义书签。 二、设计目的和作用 .......................................... 错误!未定义书签。 三、设计方案和原理 .......................................... 错误!未定义书签。 3.1电路功能...................................................... 错误!未定义书签。 3.2电路工作原理 (2) 3.3参数计算 (3) 3.4元器件选择 (3) 四、仿真调试与性能分析 (4) 4.1MUITLSIM仿真 (4) 4.2实验步骤 (5) 4.3调试分析 (5) 4.4实物图 (5) 五、设计心得 (6)

一、摘要 随着科学技术的发展,电力电子设备与人们的工作、生活的关系日益密切。如今人民的生活水平大幅提升,生活质量和审美情趣也大幅提升,所以本次实验,我们设计了一个十八路心形流水灯,灯交替闪烁,组成心形图案,集美观于实用于一体,也可以用于馈赠亲朋好友,是十分有意义的。 关键字:LED 十八路流水灯心形流水灯交替闪烁 二、设计目的和作用 随着微电子技术的迅速发展,各类功能芯片的性能不断提高,数字信号处理得到越来越广泛的应用,而十八路流水灯是一个简单的应用,在通信系统、数字仪器仪表、语音、图像处理等方面都是必不可少的部分,所以流水灯的基础设计显得尤为重要。本次设计在软硬件常规实验的基础上,运用“模拟电子技术”的理论知识,设计、分析、测试基本电路系统,初步掌握综合运用理论知识、软件仿真以及硬件测试进行简单系统的设计与分析的基本方法。流水灯在日常生活中非常重要,运用非常广泛,在电子工程,通信工程,自动控制,遥测控制,测量仪器,仪表和计算机等技术领域,经常需要用到各种各样的流水灯。随着集成电路的迅速发展,用集成电路可很方便地构成各种复杂功能的流水灯。用集成电路实现的流水灯与其他流水灯相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。流水灯在电路实验和设备检测中具有十分广泛的用途。 三、设计方案和原理 3.1电路功能 18颗LED排列成心形,由三只振荡三极管驱动,通电后LED依次旋转闪亮,夜间效果更佳。 3.2电路工作原理

EDA实验流水灯控制

彩灯控制器 一、设计内容及要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制彩灯按照设计要求实现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件

1.同步二进制计数器74LS163 表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能:

管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一个CP上升沿配合下把四个触发器的输出置为低 电平。异步清除时,直接用清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据.当LD = 1时,使能输入PT同时为高电平,在 CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194 74LS194内部原理图 74LS194四位双向移位寄存器具有左移、右移、并行数据输入、保持、清除功能。 1)从图1中74LS194的图形符号和引脚图分析。SRG4是4位移位寄存器符号,D0~D3并行数据输入端、D SL左移串行数据输入端、D SR右移串行数据输入端、S A(M0)和S B (M1)(即9脚和10脚)工作方式控制端分别接电平开关,置1或置0,CP 时钟输入端接正向单次脉冲,清零端接负向单次脉冲,Q0~Q3输出端。 表三逻辑符号逻辑框图

相关文档
相关文档 最新文档