文档视界 最新最全的文档下载
当前位置:文档视界 › 2014 电、 试题、大

2014 电、 试题、大

2014 电、 试题、大
2014 电、 试题、大

试卷代号:1020

中央广播电视大学2013-2014学年度第一学期“开放本科”期末考试

国际私法试题

一、单项选择题(每题2分,共20分,每题只有一项答案正确,请将正

确答案的序号填在括号内)

1.在判例法国家,权威学者的著作是解决国际私法纠纷的依据。在英国,可以作为解决

涉外民事争议的权威著作是( )。

A.戴西和莫里斯的《法律冲突论》 B.巴丁的《关于法律关系性质的确定》

C.巴蒂福尔的《国际私法总论》 D.萨维尼的<现代罗马法体系》

2. 2010年《法律适用法》在总则第4条规定,“中华人民共和国法律对涉外民事关系有强

制性规定的,直接适用该强制性规定,”该规定将( )理论提升为法律制度。

A.直接适用的法 B.反致

C.法律规避 D.公共秩序保留

3.意大利公民甲和瑞士公民乙在意大利结婚,后来在瑞士离婚。之后乙再婚。甲欲在英

国与一位在瑞士有住所的西班牙公民丙结婚,但英国的婚姻登记官拒绝为他们登记。理由是,

根据意大利法律,甲的离婚无效。在这个案例中,甲和乙的离婚关系是( )。

A.主要问题 B.次要问题

C.先决问题 D.识别问题

4.当事人具有两个或两个以上的国籍,其中一个是内国国籍,这种情况下国籍的确定方

法是( )。

A.以当事人最后取得的国籍为其国籍 B.以当事人住所地国家的国籍为其国籍

C.由法院确定当事人的国籍 D.以内国国籍为其国籍

5.《中华人民共和国海商法》规定:船舶所有权的取得、转让和消灭,适用( )。

A.行为地法 B.船舶所有人国籍国法

C.船旗国法 D.船舶所在地法

6.提出动产三分说的学者是( )。

A.巴托鲁斯 B.萨维尼

C.戴西 D.库克

7. 2012年,北京某高校学生甲与美国一高校联系到该校就读,获准。与学生甲住同一宿舍的学生乙产生嫉妒,盗用学生甲的名义给美国的学校发一函件,称不愿到该校就读。学校遂取消学生甲的入学资格。学生甲得知此事后,在中国法院提起诉讼。本案应适用的法律是( )。

A.美国法律

B.中国法律

C.当事人在中国法律或美国法律之间作出选择

D.法院在中国法律或美国法律之间作出选择

8.我国加入的调整国际铁路货物运输合同的公约是( )。

A.《华沙公约》 B.《国际货约》

C.《海牙公约》 D.《国际货协》

9.《专利合作条约》规定专利申请案实行“早期公布”的办法,在申请人提交申请案( ) 内予以公布。

A. 3个月 B.6个月

C. 12个月 D.18个月

10.《保护文学艺术作品的伯尔尼公约》规定对各成员国对作者的最低保护期限为( )。

A.作者有生之年加死后50年;如作者难以确定,不少于作品发表之日起50年

B.作者有生之年;如作者难以确定,不少于作品的发表之日起50年

C.作者有生之年或作品发表之日起50年,以期间较长者为准

D.作者有生之年,不论作品何时发表

二、多项选择题(每题3分,共15分,每题至少有一项答案正确,多选

或少选均不得分)

11.我国哪些立法中规定有国际私法规范?( )

A.《涉外民事关系法律适用法》 B.《海商法》

C.《合同法》 D.<民事诉讼法》

12.根据我国有关规定,有双重或多重国籍的外国人,以其( )为其本国法。

A.住所地法 B.与其有最密切联系的国家的法律

C.法院地法 D.经常居所地法

13.《联合国国际货物销售合同公约》不调整的货物买卖关系有( )。

A.供私人和家庭使用货物的买卖

B.以拍卖方式、根据法律执行令状或其他令状进行的买卖

C.股票、投资证券、流通票据或货币的买卖

D.船舶、飞机或电力的买卖

14.我国法律把( )都视为侵权行为地。

A.侵权行为实施地

B.侵权结果发生地

C.侵权行为预谋地

D.与侵权行为有关的地方均作为侵权行为地

15.我国《仲裁法》规定,国际商事仲裁协议的基本内容包括( )。

A.仲裁争议事项 B.仲裁地点

C.仲裁机构 D.仲裁规则

三、名词解释(每题5分.共15分)

16.准据法

17.法定继承的区别制

18.平行管辖

四、筒述题(每题10分,共20分)

19.简述法律冲突产生的原因。

20.简述合同中仲裁条款独立有效性原则。

五、论述题(共15分)

21.论意思自治原则。

六、案例题(共15分)

22. 2008年,中国籍公民俞某与日本籍公民山口在中国结婚,婚后在中国生有一子。2009 年,山口独自回日本居住。2011年,俞某以夫妻长期两地分居,感情淡漠为由,在中国法院提请离婚诉讼。山口同意离婚。在子女监护权和抚养权问题上,双方产生争议。山口要求将儿

子带回日本,由她抚养,俞某要求将儿子留在中国,由他抚养。

问:本案应如何适用法律?

试卷代号:1020

中央广播电视大学2013-2014学年度第一学期“开放本科”期末考试

国际私法试题答案及评分标准

(供参考)

2014年1月

一、单项选择题(每题2分,共20分)

1.A 2.A 3.C 4.D 5.C

6.B 7.B 8.D 9.D 10.A

二、多项选择题(每题3分,共15分)

11. ABCD 12. BD 13. ABCD 14. AB 15. ABCD

三、名词解释(每题5分,共15分)

16.准据法:是指按照冲突规范的指引而援用的确定当事人权利与义务的特定实体法。

17.法定继承的区别制:也称分割制,即主张在涉外继承案件中,将死者的遗产区分为动产和不动产,分别适用不同的准据法,动产适用被继承人的属人法,不动产适用物之所在地法。 18.平行管辖:也称选择管辖、竞争管辖、重叠管辖或公共管辖,是指一国法院可基于原告的合法选择而享有管辖权,同时也承认其他国家对这类案件享有管辖权的情况。

四、简述题(每题10分,共20分)

19.答:涉外民事法律关系法律冲突产生的原因如下:

(1)不同国家的法人、公民之间进行经济交往和民事往来,形成大量的涉外民事关系;

(2分)

(2)对同一涉外民事关系,不同国家的法律作出了不同的规定,这是法律冲突产生的重要

条件,如果各国对涉外民事关系的法律规定相一致,就不存在法律冲突问题了;(3分)

(3)-国法律的域内效力与另一国法律的域外效力同时作用于同一涉外民事关系,而这两

个国家的法律规定不同时,便产生法律的域内效力与法律的域外效力的冲突;(3分)

(4)-国承认外国人在内国的民事法律地位,这是涉外民事关系法律冲突产生的重要条

件。(2分)

20.简述合同中仲裁条款独立有效性原则。

合同中仲裁条款独立有效性原则是指合同中的仲裁条款具有相对的独立性,其有效性不

受合同有效性的影响。即使合同无效或合同终止,仲裁条款仍然有效。(2分)仲裁条款独立有效性学说的理论根据是:

(1)合同规定当事人双方实体方面的权利义务,仲裁条款规定当事人实体方面的权利义务

得不到实现时的法律救济措施。合同得到全部履行,仲裁条款无须履行,合同未履行,则需履行仲裁条款,二者彼此独立;(3分)

(2)仲裁条款有独立于合同的效力。合同的有效性取决于合同形式要件和合同实质要件

是否符合合同准据法的规定,仲裁条款的有效性取决于仲裁机构所在地国家法律或仲裁规则

对仲裁条款形式、内容的规定。判断二者有效性的法律根据不同;(3分)

(3)仲裁条款独立于合同中的其他条款。合同中的任何其他条款均可与仲裁条款分开,合

同中的其他条款是否有效,不影响仲裁条款对当事人的拘束力。(2分)

五、论述题(共15分)

21.答:(1)意思自治原则是指在合同法律关系方面,当事人既然可以依据“契约自由”原则按照自己的意志创设某种权利义务关系,那么,他们当然有权自行决定合同应适用的法律;

(2分)

(2)意思自治原则由法国法学家杜摩兰于16世纪创立。杜摩兰在其所著的《巴黎习惯法

评述》一书中作了详尽的阐述。这一原则被世界各国普遍接受,成为确定合同准据法的首要原

则;(2分)

(3)意思自治原则,从意思自治的范围来讲,有无限意思自治原则和有限意思自治原则之分;(1分)

无限意思自治原则主张当事人选择法律的权利是绝对的,不受任何限制,当事人可以选择任何一国法律作为合同的准据法。事实上,绝对的权利和自由是不存在的,主张无限意思自治原则的国家也认为当事人选择合同准据法时,必须满足两个条件:第一,当事人选择法律的意思必须合法,不能排除与国家利益、社会公共利益有关的强制性法律规范的适用。第二,当事人选择合同的准据法必须是善意的,有合法的目的。凡以胁迫、欺诈、恶意同谋等方式选择准据法,凡故意规避强行性或禁止性法律规范选择准据法,均属无效选择。(4分) 有限意思自治原则主张当事人选择的准据法必须与合同有内在的联系,当事人不得选择

与合同没有实际联系的国家的法律作准据法。(2分)

(4)当事人选择合同的准据法必须以一定的方式表现出来,表现选择法律意图的方式有两种:明示的意思自治和默示的意思自治。(1分)

明示的意思自治是指合同当事人在缔结合同之前或在争议产生之后,以文字或言词明确

作出选择合同准据法的意思表示。默示的意思自治是指当事人在合同中没有明确规定应适用

的准据法的情况下,通过缔约行为或其他一些因素来推定当事人已默示同意合同受某一特定

国家的法律支配。(3分)

六、案例题I共15分)

22.答:《中华人民共和国涉外民事关系法律适用法》第29条规定:“扶养,适用一方当事人经常居所地法律、国籍国法律或者主要财产所在地法律中有利于保护被扶养人权益的法律”。(3分)该法第30条规定,“监护,适用一方当事人经常居所地法律或者国籍国法律中有利于保护被监护人权益的法律”。(3分)由此可见,本案中,中国法律和日本法律都可以适用,关键在于确定有利于保护被扶养人权益的法律。(4分)结合本案的具体案情,因为被扶养人

一直生活在中国,其经常居住地和其监护人的主要财产所在地都在中国,因此与中国具有最为密切的联系,中国法更有利于保护其权益,故本案应适用中国法。(5分)

138

安徽建筑大学数电期末考试(试卷A).doc

总分—=四五六七八 阅卷 复核 安徽建筑大学试卷(A卷)第1页共4页 (2013—2014学年第2学期)适用年级专业:电气、自动化、测控专业 考试课程:数字电子技术基础A 班级:学号: __________________________ 姓名: 一、填空题:(每空1分,共20 注 . 学 生 不 得 在 草 稿 纸 上 答 题, 答 题 不 得 超 出 框 体1 .十进制数3. 625的二进制数和8421 BCD码分别为() () 2.三态门输出的三种状态分别为:()、()和(). 3.主从型JK触发器的特性方程. 4.用4个触发器可以存储()位二进制数. 5.逻辑函数Y = + C的两种标准形式分别为()、 和 (). 6.将2015个“1”异或起来得到的结果是()? 是脉冲的整形电路。 8.JK 触发器、当JK二10, Q*=(),JK二11 旦Q二0,则Q*= () 9.二进制负整数-1011011,反码表示为()补码表示为( ) 10.对500个符号进行二进制编码,则至少需要()位二进制数。 11.SR触发器的特性方程为(),( )。 12.如用OV表示逻辑1, -1OV表示逻辑0,这属于()逻 辑。 二、选择题:(每题2分,共20分) :Q _ 勺 CP Q - Q I I AB C D ()2单稳态触发器的输出脉冲的宽度取决于() A.触发脉冲的宽度 B.触发脉冲的幅度 C.电路本身的电容、电阻的参数 D.电源电压的数值 ()3.下图所示施密特触发器电路中,它的回差电压等于多少 A、2v B、5v C、4v D、3v ,I ----------- ZV 8 4 s—— 6 2 555 3 (1) 1 5 -L 1+4V ()4.请判断以下哪个电路不是时序逻辑电路: A、计数器 B、寄存器 C、数据比较器 D、触发器 ()5.某电路的输入波形Ui和输出波形赤如下图所示,贝IJ

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

武汉大学2014-2015学年度数字电子技术试卷A

武汉大学2014—2015学年度第二学期 《数字电子技术基础》试卷(A) 学号姓名院(系)分数 一、填空题,每空1分(共20分) 1.逻辑函数有多种表达方式,其中的四种为(),(),()和()。 2、(25.7)10=()2=()16。 3、可以实现线与功能的逻辑门电路包括(),()和()。 4、JK触发器若J=K,则可实现()触发器的功能;若J=K,则可实现()触发 器的功能。 5、5位环形和扭环形计数器,若初态均为10110(低位在左),则26个CP后环形计 数器的并行输出为(),扭环计数器的并行输出为()。 6、128K×16的只读存储器,其寻址地址线数目是()根,字长是()位,字数是 (),总容量是()。 7、可以实现更大规模逻辑电路的可编程器件主要包括()和()。 8、设四位D/A转换器的满刻度输出电压30V,则输入数字量是1011时的输出模拟 电压为()V。 二、单项选择填空,每空2分(共20分) 1、编码(11111100.11010100)2421对应的十进制数为()。 A.97.64B.86.54C.96.74D.85.74 2、逻辑函数F=A B C ?+且BC=0的卡诺图中,最小项和无关项个数分别为()个。 A.4,2B.3,2C.3,3D.2,4 3、下列说法正确的共有()个。 (1)按制造门电路晶体管的不同,门电路可分为MOS型和双极型; (2)TTL电路相对CMOS电路的特点是速度快,但功耗大; (3)CMOS电路的静态功耗很小,主要是动态功耗; (4)TTL器件驱动CMOS器件主要需要校验灌电流是否满足要求; A.1B.2C.3D.4 4、已知题2.4图中的门电路是74系列的TTL门电路,则电路的输出电平是()。 A.高电平B.高阻C.低电平D.无法判断 5、要将方波脉冲的周期扩展10倍,可采用()。 A.10级施密特触发器B.10位二进制计数器 C.十进制计数器D.10位D/A转换器 第1页共4页6、用4片4K×16的RAM构成4K×16的存储器,扩展后地址空间最高的一片4K×16

数字电路期末模拟考试试题及答案

数字电路期末模拟考试 试题及答案 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

数字电子电路模拟试题-2 一、填空题(共30分) 1. 三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结___ _,集电结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______ 逻辑赋值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是__ _____。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、 6. 下图所示电路中, 7. Y 2 二、选择题(共 20分) 1. 当晶体三极管____时处于饱和状态。 A. 发射结和集电结均处于反向偏置 B. 发射结正向偏置,集电结反向偏置 C. 发射结和集电结均处于正向偏置

2. 在下列三个逻辑函数表达式中,____是最小项表达式。 A . B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C. C AB ABC B C A C B A ) D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

数字电路期中试卷(答案)

数字电路期中试卷(答案)

第 2 页共 11 页

第 3 页共 11 页

第 4 页 共 11 页 三、简答题 (每小题5分,共25分) 1、用真值表证明等式:)(B A ⊕⊙A C =⊙)(C B ⊕ 证明:真值表如下: 由上述真值表(的后两列)知:)(B A ⊕⊙A C =⊙)(C B ⊕ 2、用代数法化 简函数: ADCBD D C B C B ABD D ABC L +++?+=)( 解: C B AB A C B A D A C B C AD AC B C B ABD ABC D C B C B ABD ABC D C B C B ABD ABCD D ABC ADCBD D C B C B ABD D ABC L +=+=++=++=++=+++=+++++=+++?+=)()()()()( 3、用卡诺图法化简函数: ∑∑+=)96,3,21()15,1312,111075,0(),,,(,,d ,,,,m D C B A R 解:画出卡诺图并化简,得

第 5 页 共 11 页 D C B C AB B A R +++= 4、分析下列功能表,说明其功能。 解:由功能表知: (1)功能表是4线-2线优先编码器的功能表; (2)此优先编码器有1个输入使能控制端E ,高电平有效; (3)有4个输入端,优先级别从3 I 、2I 、1 I 、0 I 依次降低,且为高电平有效; (4)有1个输出指示端GS ,低电平有效; (5)有2个输出端1Y 、0 Y ,高电平有效,权值分别为2、1。 (6)优先编码器的输出为: 321I I Y +=,3 210I I I Y +=,

数电试题及答案

数电试题及答案

通信071~5 班20 08 ~20 09 学年第二学期《数字电子技术基础》课试卷试卷类型: A 卷 题号一二三四五六七八九 总 成 绩 得 分 一、单项选择题(每小题2分,共24分) 1、8421BCD码01101001.01110001转换为十进制数是:( c ) A:78.16 B:24.25 C:69.71 D:54.56 2、最简与或式的标准是:(c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多B:表达式中乘积项最少,且每个乘积项的变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少D:表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:(B )

A:消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C:消去3个表现形式不同的变量,保留相同变量表1 D:消去4个表现形式不同的变量,保留相同变量

4、已知真值表如表1所示,则 其逻辑表达式为:( A ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达 式为:( B ) A :F(A,B,C)=∑m (0,2, 4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器 中的二进制数乘以(32)10需要 ( C )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端 (E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011, A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1

数电往年考题

09年 5、电路如图1.2所示,TG 为CMOS 传输门,G 为TTL 与非门,则当C=0时 P= ;当C=1时P= 。 B & TG A C C Ω k 10P G 图1.2 6. 当TTL 门电路的输入端悬空时,应视为 (高电平,低电平,不定)。此时,如用万用表测量其输入端电压,读数约为 (0V ,1.4V ,3.6V )。 六、(4分)写出图6所示TTL 门电路构成的组合电路的输出表达式。 图6 七、(6分)写出图7所示电路的逻辑表达式,列出真值表,说明电路逻辑功能。 A B C 图7 八(8分)将图8(a)所示电路用其他器件实现,要求直接在图上画出连线。 (1) 改用3线/8线译码器74LS138和适当的门实现该逻辑电路,图8(b)为 74LS138符号图; (2) 改用8选一数据选择器实现,图8 (c )为8选一数据选择器逻辑符号。 P 图8(a )

图8(b ) 08年 4.函数式D C AB F ++=,写出其对偶式='F ()A B C D +。 5.由TTL 与非门组成的电路如图1-2所示。设与非门输出高电平U OH =3.6V ,低电平为U OL =0.3V ,电压表内阻为20k Ω/V 。当输入ABC =000,开关S 断开时,用万用表测出U 1= 1.4V ,U 2= 0.3V ;当输入ABC =101,开关S 闭合时,U 1= 0.3V ,U 2= 3.6V 。 A B C 图1-2 6.对CMOS 或非门电路,判断下面结论对错: (1)输入端悬空可能造成逻辑出错; (对) (2)输入端对地接大电阻(如510 k Ω)相当于接高电平1; (错) (3)输入端对地接小电阻(如510 Ω)相当于接低电平0; (对) 7.CMOS 电路如图1-3所示,TG 为CMOS 传输门,G 为TTL 与非门,则C=0, P= 0 ; G G G 1 2A

数电试题与答案(五套)

《数字电子技术基础》试题一 一、 填空题(22分 每空2分) 1、 =⊕0A , =⊕1A 。 2、JK 触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为 态,另一个为 态.多谐振荡器两个状态都为 态, 施密特触发器两个状态都为 态. 4、组合逻辑电路的输出仅仅只与该时刻的 有关,而与 无关。 5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 。 6、一个四选一数据选择器,其地址输入端有 个。 二、 化简题(15分 每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15) 2)∑∑+=)11,10,9,3,2,1()15,14,13,0() ,,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程 3)________________________________________ __________)(),,(B A B A ABC B A C B A F +++= 三、 画图题(10分 每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、 2、 四、 分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、 设计题(28分) 1、 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯 亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制 电路真值表,要求用74LS138和适当的与非门实现此电路(20分)

2014年电路期末考试题

1.如图1所示,N1和N2均为集总参数电路,则电流I= 0 A。 2.如图2所示,调节电阻R,则电阻R能获得的最大功率是8 W。 图1 图2 3.Y形联结的三个电阻均为30Ω,则等效Δ形联结的三个电阻均为90 Ω。4.某电感L=4H,电感中的电流为5A,则该电感存储的磁场能为50 J。5.一阶RC电路,R=4Ω,C=5F,则该RC电路的时间常数等于20 s。6.在动态电路中,电容两端的电压和电感中的电流不会突变。 7.正弦量的三要素是振幅、角频率和初相。 8.电感L1=2H、L2=10H反向串联,互感M=3H,则串联等效电感为 6 H。9.RLC串联电路,品质因数为100,谐振频率为2000Hz,则带宽为20 Hz。 10 11.电路中含有m条支路,n个结点,则独立KVL方程数为(m-n+1 )12.如图3所示,电压U为(1V )13.如图4所示正弦稳态电路,各电压表读数为有效值,其中V1=30V,V3=50V,则V2为(40V ) 图3 图4 14.在测量正弦稳态电路的功率时,功率表的读数是(有功功率) 15.一端口网络的复功率是9+j12 V·A,则对应的无功功率为(12Var ) 16.电感L1=2H和L2=8H串联,其等效电感为(10H )17.电阻R L与电流源I s=5A串联,当R L增大时,通过R L的电流(不变)

18.电感、电容相串联的正弦交流电路,电感和电容消耗的有功功率为( 0 ) 19.两互感线圈L 1=2H ,L 2=50H ,互感M=5H ,则耦合系数K= ( 0.5 ) 20.RLC 并联电路,电容电流超前电感电流 ( 180° ) 21.RLC 并联电路,已知R=2Ω,L=4H ,C=10F ,则当该RLC 并联电路处于谐 振 状 态 时 , 其 等 效 阻 抗 为 ( 2Ω ) 22.RLC 串联电路,已知品质因数Q=100,电源电压U s =5V ,则当该RLC 串联 电 路 处 于 谐 振 状 态 时 , 电 容 电 压 U c 为 ( 500V ) 23.关于正弦稳态电路的功率,下列说法错误的是 ( D ) A .有功功率即是平均功率,是瞬时功率中不可逆的部分。 B .无功功率是瞬时功率中可逆的部分,与L 、 C 有关。 C .视在功率表明电气设备最大的负荷能力。 D .功率因数等于复功率与视在功率的比值。 24.一端口网络的戴维宁等效电路为U oc 1045? =∠V ,Zeq=2+j7Ω,现在该一端 口接可变负载Z L ,则当Z L 获得最大功率时,Z L 的值为 ( 2-j7 Ω) 25.三相电路中,对称星形电源的线电压超前相电压 ( 30° ) 26.如图5所示,试用网孔电流法求解通过30Ω电阻的电流I 。 图5 解:列网孔电流方程,可得:

北京交通大学14年电信数电期中试题(1)

北京交通大学考试试题(期中) 课程名称:数字电子技术(A)学年学期:2014-2015学年第一学期 课程编号:14L126Q 开课学院:电信学院出题教师: 学生姓名:学号:任课教师: 学生学院:班级: 一、概念题(每空3分,共30分) 1. 一组合逻辑电路输入信号的变化顺序有以下三种情况,当顺序为时,将可能出现竞争冒险。 (A)00→01→11→10;(B)00→11→10→01;(C)00→01→00→10。 2. TTL与非门的灌电流负载发生在输出电平情况下,负载电流越大,则输出电平越。 3.CMOS门电路与TTL门电路相比最大的优点是。 (A)传输速度快;(B)功耗低;(C)功能全;(D)价格低。 4.能实现线与功能的门电路有;能实现总线连接方式的门电路有。 (A)与非门;(B)异或门;(C)三态门;(D)OC门。 5.图1所示电路的逻辑表达F= 。

F 图1 图2 6.图2所示电路的逻辑功能是 。 7.如图所示逻辑电路的表达式F = 。 8.如图所示逻辑电路的表达式F = 。 A 0A 1 二、分析题(共30分) 1.分析图示集成逻辑门电路功能。(10分)

2.分析图示电路的逻辑功能。图中74HC85是比较器,74CH283是加法器。(10分) 3 2 1 3.试分析图示逻辑电路的逻辑功能。(10分)

(a ) S Q (b ) X CP Y 三、设计题(共40分) 1.分别用3—8译码器和2—4数据选择器及适当的门电路,实现下面的逻辑表达式。(10分)(10分) BC AC AB F ++= 2.如图(a )所示电路,当其输入信号如图(b )所示,画出S 和Q 的波形。(10分)

五套电力系统分析试题(含参考答案)

电力系统分析试题(含答参考案) 2018.08 一、 一、填空题 1.降压变压器高压侧的主分接头电压为220kv ,若选择+2×2.5%的分接头,则该分接头电压为 231KV 。 2.电力系统中性点有效接地方式指的是 中性点直接接地 。 3.输电线路的电气参数包括电抗、电导、电纳和 电阻 。 4.输电线路的电压偏移是指线路始端或末端母线的实际运行电压与线路 额定电压 的数值差。 5.电力系统的潮流分布一般是用各节点的电压和 功率 表示。 6.调整发电机组输出的有功功率用来调整电力系统运行的 频率 。 7.复合故障一般是指某一时刻在电力系统 二个及以上地方 发生故障。 8.用对称分量法计算不对称故障,当三相阻抗完全对称时,则其序阻抗矩阵Zsc 的非对角元素为 零 。 9.系统中发生单相接地短路时故障点短路电流的大小是零序电流的 3 倍。 10.减小输出电元件的电抗将 提高(改善) 系统的静态稳定性。 二、单项选择题在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。 11.同步发电机的转速和系统频率之间是否有严格的关系( ② ) ①否 ②是 ③不一定 ④根据发电机的形式定 12.三绕组变压器的结构、通常将高压绕组放在( ③ ) ①内层 ②中间层 ③外层 ④独立设置 13.中性点以消弧线圈接地的电力系统,通常采用的补偿方式是( ③ ) ①全补偿 ②欠补偿 ③过补偿 ④有时全补偿,有时欠补偿 14.三相导线的几何均距越大,则导线的电抗( ② ) ①越大 ②越小 ③不变 ④无法确定 15.变压器的电导参数G T ,主要决定于哪一个实验数据( ① ) ①△P O ②△P K ③U K % ④I O % 16.当功率的有名值为s =P +jQ 时(功率因数角为?)取基准功率为S n ,则有功功率的标么值为( ③ ) ① ?cos S P n ? ②?sin S P n ? ③n S P ④n S cos P ?? 17.环网中功率的自然分布是( ④ ) ①与电阻成正比分布 ②与电抗成正比分布 ③与阻抗成正比分布 ④与阻抗成反比分布

2013数电试卷A答案

北京工业大学实验学院2013---2014学年第1学期 数字电子技术I (A)卷课程试卷 适用专业:电子信息工程考试方式:(闭卷)考试时间:2013年12月30日 班级学号: _______________ 姓名:成绩___________ ⑵试题请做在规定处;装订的试卷不得随意拆散,自行拆散成绩以零分记 一、选择题(每小题2分,共20 分) (D )1. N个触发器可以构成最大计数长度为________________ 。 A、N B、2N C、N2 D、2N (C )2.下列几种门电路中,输出端可实现线与功能的电路是__________________ 。 A、传输门 B、异或门 C、OD门 D、三态门 (A )3.下列描述不正确的是_______________ 。 A、时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 B、寄存器只能存储小量数据,存储器可存储大量数据。 C、主从JK触发器主触发器具有一次翻转性。 D、将移位寄存器首尾相连可构成环形计数器。 (D )4.在四变量卡诺图中,逻辑上不相邻的一组最小项为__________________ 。 A、m 1 与m 3 B、m 4 与m 6 C、m 0 与m 8 D、m 2 与m 8 (D )5.已知逻辑函数Y AB AC BC,与其相等的函数为________________________ 。 A、AB B、AB AC C、AB BC D、AB C (A )6.电路如下图((图中为下降沿JK触发器),触发器当前状态Q3Q2Q1为101 ”, 请问时钟作用下,触发器下一状态为______________ 。 A、“110” B、“100”“010” D、“ 000”

数电试题及答案

通信071?5 班 20 08?20 09 学年第二学期 《数字电子技术基础》 课试卷试卷 类型:A ■卷 单项选择题(每小题2分,共24 分) 1、 8421BCD 码01101001.01110001转换为十进制数是: A : 78.16 B : 24.25 C : 2、 最简与或式的标准是: (c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 变量个数最多 3、 用逻辑函数卡诺图化简中,四个相邻项可合并为一项 A :消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同 D:消去4个表现形式不同的变量,保留相同变量 4、 已知真值表如表 1所示,则其逻辑表达式为: A: A ? B ? C B: AB + BC C: AB + BC D: ABC (A+B+C ) 5、 函数 F(A , A: F(A,B,C)= B: F(A,B,C)= C: F(A,B,C)= D: F(A,B,C)= B ,C)=AB+BC+AC 的最小项表达式为: E m E m E m E m (0, (3, (0, (2, 2, 5, 2, 4, 4) 6, 3, 6, 7) 4) 7) 6、 欲将一个移位寄存器中的二进制数乘以( A: 32 B : 10 7、 已知74LS138译码器的输入三个使能端( E 1=1, 是:(C ) A :::: (c 69.71 ,它能: 变量 32) 10需要 n 1 n = Q ,JK 触发器的J 、K 取值应是: B: J=0, K=1 (B ) B :集电极开路门 D : 54.56 B :表达式中乘积项最少,且每个乘积项的 D:表达式中乘积项最多,且每个乘积项的 (B ) (B ) ( C : _ E 2A =E 2B = 0 ) )个移位脉冲。 D : 6 _ _ A 2A 1A O =011,则输岀 丫厂?丫0 时,地址码 8、 要实现Q =Q A: J=0, K=0 9、 能够实现线与功能的是: A: TTL 与非门 10、 个四位串行数据,输入四位移位寄存器,时钟脉冲频率为 输岀。 A : 8ms B : 4ms 11、 表2所列真值表的逻辑功能所表示的逻辑器件是: A B C D (D ) C: J=1, K=0 D : J=1, K=1 C :三态逻辑门 1kHz ,经过 D : CMOS 逻辑门 B )可转换为4位并行数据 译码器 选择器 优先 编码器 比 较器 输入 I 7 I 6 I 5 I 4 I 3 I 2 I 1 12、 A: B: C: D: 图1所示为2个4位二进制数相加的串 11000 11001 10111 10101 接全力X 器逻辑电路图X 运算后 的 0 0 0 0 0 0 0 1 0 0 0 0 0 X 1 0 0 图 31 0 0 (A )

数电考试试卷

四、(10分)如下图所示为由维持—阻塞边沿D 触发器和主从型J-K 触发器组成的电路。试画出触发器输出端Q 1、Q 2的波形(设触发器初始状态均为0)。 四、(10分) )(Q Q Q Q Q K Q J Q )C (Q D Q 12n 12n 12n 2n 12n 2n 11n ↓=+=+=↑==++CP Q P n n n 五、(15分)如下面左图所示为由八选一数据选择器实现的函数F 。 (1)试写出F 的表达式。 (2)用右边的3-8译码器74LS138及若干个与非门实现函数F 。 五、(15分) )4,3,1,0(),,(11m D B A F D B A BD A D B A D B A D A D B D B A BD A B A D C B A D C B A BCD A D C B A C B A C B A F ∑=+++=+=++=++++?+?=即 表达式4分 3分 3分 表达式7分 逻辑图8分

二、化简逻辑函数(5分) Z F A B C D =(,,,)=m d (,,,,,,)(,)3589111314 015+∑∑。 二、卡诺图如下图所示,Z ABC BCD BCD ABC =+++. (5分) 三、用四位同步二进制计数器CT74161、3线-8线译码器CT74138和少量的与非门设计一个函数发生器,使其产生10110101序列信号。(10分) 三、(共10分) 1、因序列长度S=8,可用CT74161设计一个模8计数器,有效状态为Q D Q C Q B Q A =0000~0111。如采用同步预置法,电路如下图(a)所示,如采用反馈清零(异步)法,电路如图(b)所示。(2分) 3、产生10110101序列码的电路如下所示:(5分) 7 5320Y Y Y Y Y ????=2、用译码器CT74138实现组合输出电路,列真值表如左所示: 故得到组合输出为:(3分) Z= ∑m (0,2,3,5,7)=Y 0+Y 2+Y 3+Y 5+Y 7

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

(完整版)数电模拟考试题

一、选择题、 1.逻辑函数中A.B.C三个变量中,最小应有个。 A.2 B.4 C.8 D.16 2.当逻辑函数有n个变量时,共有个变量取值组合? A.n B.2n C.n2 D.2n 3.一个8选一数据选择器的数据输入端由个。 A.1 B.2 C.3 D.8 4.对于JK触发器,若J=K,则可完成触发器的逻辑功能: A.RS B.D C.T D.T’ 5.一位8421BCD码计时器至少需要个触发器。 A.3 B.4 C.5 D.10 二判断题、 1.数字电路中用“1”和“0”表示两种状态,二者无大小之分() 2.若两个函数具有相同的真值表,则两个逻辑函数必然相等。() 3.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 4.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。() 5.对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次() 三、填空题 1.数/模转换器是将进制数字量转换成信号输出。 2.逻辑函数的常用表示方法、、。 3.对于共阳接法的发光二极管数码显示器,应采用驱动的七段显示译码器。4.制度存储器是用来存放固定不变的二进制数码,在正常工作时,只能存储代码,而不能存储代码,当时去电源后,其信息代码不会。 5.将模拟信号转换为数字信号,需要经过、、、四个过程。 四、用代数法化简函数 Z=AB+ABC 五、用卡诺图法化简下式。 {F(A、B、C、D)=∑m(0、1、3、5、8、9)} (约束条件)AB+AC=0 Y=AC+ABC+A BC

七、试用与非门设计一个三人表决电路(输入只提供原变量) 八、分析时序电路的逻辑功能,写出电路的曲弓方程和输出方程,画出状态转换图和时序图。 九、如下图所示维持阻塞D触发器,设初态为0,根据CP脉冲及A输入波形画出Q波形。 十、试分析下图所示电路画出它的状态图,说明它是几进制计数器

数字电路试题五套含答案

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。 二、 化简(每小题5分,共20分) 1、公式法化简 (1)Y=ABC ABC BC BC A ++++ (2)Y ABC A B C =+++

2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形(10 分) 四、用74LS161四位二进制计数器实现十进制计数器(15分)

五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) P Q A Q B Q C Q D C T 74LS161 LD CP Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端;

相关文档