文档视界 最新最全的文档下载
当前位置:文档视界 › 计算机组成原理及汇编语言

计算机组成原理及汇编语言

计算机组成原理及汇编语言
计算机组成原理及汇编语言

计算机组成原理及汇编语言

计算机组成原理及汇编语言试卷 10

一、选择题(共20道题)

1.计算机硬件能直接执行的只有()。

A 符号语言

B 机器语言

C 机器语言和汇编语言

D 汇编语言

2.中央处理机(CPU)是指()。

A 运算器

B 控制器

C 运算器和控制器

D 运算器、控制器和主存贮器

3.MOV AX,ES:COUNT[DI] 源操作数的寻址方式是:()。

A 基址寻址

B 立即寻址

C 变址寻址

D 基址变址寻址

4.所谓第二代计算机是以()为主要元器件。

A 超大规模集成电路

B 集成电路

C 晶体管

D 电子管

5.大部分计算机内的减法是用( )来实现的。

A 将被减数加到减数中

B 从被减数中减去减数

C 补数的相加

D 从减数中减去被减数

6.个人计算机是属于()。

A 大型计算机

B 小型机

C 微型计算机

D 超级计算机

7.运算器的主要功能是进行()。

A 逻辑运算

B 算术运算

C 逻辑运算与算术运算

D 初等函数运算

8.计算机中()负责指令译码。

A 算术逻辑单元

B 控制单元

C 存储器译码电路

D 输入输出译码电路

9.如果要处理速度、温度、电压等连续性数据可以使用()。

A 数字计算机

B 模拟计算机

C 混合型计算机

D 特殊用途计算机

10.有些计算机将部分软件永恒地存于只读存储器中,称之为()。

A 硬件

B 软件

C 固件

D 辅助存储

E 以上都不对

11.下列()不属于系统程序。

A 数据库系统

B 操作系统

C 编译程序

D 编辑程序

12.一个完整的计算机系统应包括()。

A 运算器、存贮器、控制器

B 主机和外部设备

C 主机与应用程序

D 配套的硬件设备和软件系统

13.下列()不是输入设备。

A 磁盘驱动器

B 键盘

C 鼠标器

D 打印机

14.以真空管为主要零件的是()。

A 第一代计算机

B 第二代计算机

C 第三代计算机

D 第四代计算机

15.MOV AX,ES:[1000H] 源操作数的寻址方式是:()。

A 立即寻址

B 直接寻址

C 变址寻址

D 基址寻址

16.计算机经历了从器件角度划分的四代发展历程,但从系统结构来看,至今为止绝大多数计算机仍是()式计算机。

A 实时处理

B 智能化

C 并行

D 冯·诺依曼

17.将有关数据加以分类、统计、分析,以取有利用价值的信息,我们称其为()。

A 数值计算

B 辅助设计

C 数据处理

D 实时控制

18.下列()为计算机辅助教学的英文缩写。

A CAD

B CAM

C CAE

D CAI

19.下列描述()是正确的。

A 控制器能理解、解释并执行所有的指令及存储结果

B 一台计算机包括输入、输出、控制、存储及运算五个单元

C 所有的数据运算都在CPU的控制器中完成

D 以上答案都正确

20.第三代计算机采用()。

A 晶体管

B 电子管

C 集成电路

D 超大规模集成电路

二、填空题(共20道题)

1.(D4E5)H ∨()H=(152345)H

2.主存储器的读取规则:“高高低低”规则,即____地址对应高字节,低地址对应____字节。

3.在浮点补码二进制加减运算中,当尾数部分出现_____和_____形式时,需进行左规,此时尾数______移一位,阶码_____,直到______为止。

4.设操作数字长16位(不包括符号位),机器作原码两位乘运算,共需作__次___操作,最多需做___次____操作,才能得到最后的乘积,乘积的符号位需_______。

5.微型计算机主要由_____、_____、及_____组成,__________在各部件之间提供通信。

6.(7AD2)H∧(A19C) H=() H

7.标志寄存器中的9个标志分两组,(SF、ZF、OF、CF、AF、PF)为____标志,(DF、IF、TF)为________标志。

8.在数字计算机中,信息处理的形式是用______进制运算。

9.8086/8088中,每一个存储单元都有一个惟一的_____位地址,称此地址为该存储单元的物理地址。

10.(7AD2)H ∨(A19C) H=() H

11.冯·诺依曼计算机体系结构由五大部件组成:_____、______、______、______、_____。

12.设操作数字长15位(不包括符号位)运算,共需作___次____操作,最多需作___次____操作才能得到最后乘积,乘积的符号位需______。

13.完整的计算机系统应包括_______和_________。

14.在浮点加减运算中,对阶时需_____阶向____阶看齐,即小阶的尾数向____移位。每移一位,阶码____,直到两数的阶码相等为止。

15.数据寄存器主要用来保存操作数或运算结果等信息,其中___称为累加器, BX称为基址寄存器,_____称为计数寄存器,DX称为数据寄存器。

16.汇编程序是一种将______语言表示的程序(称为汇编源程序)翻译成用_____语言表示的目的程序的软件。

17.两个n+1位(含l位符号位)的原码在机器中作一位乘运算,共需要作___次__操作和___次____操作,才能得到最后的乘积,乘积的符号位需_______。

18.常用的语言处理程序有______程序、_______程序和______程序等。

19.已知浮点数尾数24位(不包括符号位),当它分别表示原码、补码和反码时,左规的最多次数分别为______、_______和_______次,右规的最多次数分别为____、____和___次。

三、判断题(共10道题)

1.MOV指令能实现存储单元之间的数据传送。()

2.地址传送指令LEA的目的操作数必须是一个16位寄存器。()

3.指令CMP AX,27是正确的。()

4.MOV AX,DELTA[BX]源操作数的寻址方式是基址寻址。()

5.指令CMP 18,BX是正确的。()

6.若DL=10111001B,CF=1指令ROL DL,1执行后的结果为73H。()

7.令 AL=96H,BL=12H,指令MUL BL和IMUL BL分别执行后,它们的结果分别为

0A8CH,F78CH。()

8.若DL=10111001B,CF=1指令SAR DL,1执行后的结果为0DCH。()

9.指令CMP AX,ES是合法的。()

10.指令CMP AX,ES是合法的。()

四、简答题(共4道题)

1.计算机具有哪些主要特点?

2.数字计算机如何分类?分类的依据是什么?

3.计算机的主要用途有哪些?请举例说明。

4.现代计算机对各种各样的数据甚至操作命令、相位地址等都使用二进制代码表示,这是为什么?

五、程序题(共2道题)

1.将AL寄存器内的低4位转换为对应十六进制数ASCII码的程序片段定义为一个宏。

2.写一个压缩 BCD码,转换为对应十进制数字ASCII码的程序。

六、综合题(共1道题)

1.对8253定时计数器三个通道进行初始化编程的顺序有没有要求?已知8253中O#、1#、2#计数器及控制寄存器地址分别为340H,342H,344H,346H,试对8253三个计数器进行编程,使0#计数器设置为方式1,计数初值为2050H;1#计数器设置为方式2,计数初值为3000H;2#计数器设置为方式3,计数初值为1000。

答案

一、选择题(共20道题)

1.B

2.C

3.C

4.C

5.C

6.C

7.C

8.B

9.B

10.C

11.D

12.D

13.D

14.A

15.B

16.D

17.C

18.D

19.B

二、填空题(共20道题)

1.(0000)H

2.高,低

3.00.0XX...X, 11.1XX...X,左,减1 ,尾数部分出现00.1XX...X或11.0...X时

4.8 ,移位, 9,加法,由两数符号位异或运算获得

5.微处理器(CPU) 主存储器(MM) 外部设备互联设备总线(数据总线、地址总线、控制总线)

6.(2090)H

7.条件,控制

8.二

9.20

10.(FBDE)H

11.运算器控制器,存储器,输入设备,输出设备

12.8,移位, 8,加法,由两数符号位异或运算获得

13.配套的硬件设备,软件系统

14.小,大,右,加1

15.AX,CX

16.符号机器

17.n,加, n,移位(右移),通过两数符号位异或运算获得

18.汇编,编译,解释

19.23, 24, 23, 1,1 ,1

20.加减交替,符号位

三、判断题(共10道题)

1.×

3.√

4.√

5.×

6.√

7.×

8.√

9.×

10.×

四、简答题(共4道题)

1.(1)快速性;(2)通用性;(3)准确性;(4)逻辑性。

2.(1)按信息的形式和处理方式可分为数字计算机、模拟计算机以及数字模拟混合计算机;(2)按计算机的用途可分为通用计算机和专用计算机;(3)按计算机规模又可分为巨型机、大型机、中型机、小型机、微型机和单片机六类。

3.按照计算机加工信息的方式和处理信息的特点,计算机的应用一般分为两大类--数值计算和非数值应用,而且非数值应用范围已远远超过了数值计算。目前,计算机的应用范围几乎涉及人类社会的所有领域归纳成七个方面:(1)科学计算;(2)实时控制;(3)信息处理;(4)计算机辅助技术;(5)办公自动化;(6)家用电器;(7)人工智能。

4.因为:(1)技术容易实现;(2)二进制运算规则简单;(3)逻辑运算方便。

五、程序题(共2道题)

1. HTOASC MACRO

AND AL,0FH

ADD AL,90H

DAA

ADC AL,40H

DAA

ENDM

2. 程序名:e6-1.ASM

DSEG SEGMENT

BCD DB 86H

ASCII DB 2 DUP (0)

DSEG ENDS

ASSUME CS:CSEG, DS:DSEG

START: MOV AX, DSEG

MOV DS, AX

MOV AL, BCD

AND AL, 0FH

ADD AL, 30H

MOV ASCII+1, AL

MOV AL, BCD

MOV CL, 4

SHR AL, CL

ADD AL, 30H

MOV ASCII, AL

MOV AH, 4CH

INT 21H

CSEG ENDS

END START

六、综合题(共1道题)

1.解:一般在每个计数器独立使用时,对8253定时计数器三个通道进行初始化的顺序没有特定要求,如果几个计数器配合使用,则可能对三个通道初始化顺序会有一定要求。对每个计数器都应该先写入控制字然后再写初值。本题8253四个地址均为偶数,这是系统地址总线的A2、A1分别连接8253的A1、A0所致。初始化编程如下:

MOV DX,346H

MOV AL,32

OUT DX,AL

MOV AL,74H

OUT DX,AL

MOV AL,0B7H

OUT DX,AL

MOV DX,340H

MOV AL,50H

OUT DX,AL

MOV AL,20H

OUT DX,AL

ADD DX,2

MOV AL,00H

OUT DX,AL

MOV AL,30H

OUT DX,AL

ADD DX,2

MOV AL,00H

OUT DX,AL

MOV AL,10H

OUT DX,AL

计算机组成原理第一章题目(含答案)

第一章计算机系统概论第一章单元测验 1、计算机硬件能直接执行的是 A、高级语言 B、机器语言 C、汇编语言 D、任何语言 2、下列说法中,错误的是 A、软件与硬件具有逻辑功能的等价性 B、固件功能类似软件,形态类似硬件 C、计算机系统层次结构中,微程序属于硬件级 D、寄存器的数据位对微程序级用户透明 3、完整的计算机系统通常包括 A、运算器、控制器、存储器 B、主机、外部设备 C、主机和应用软件 D、硬件系统与软件系统 4、计算机的字长与下列哪项指标密切相关 A、运算精确度 B、运算速度 C、内存容量 D、存取速度 5、CPU地址线数量与下列哪项指标密切相关 A、运算精确度 B、运算速度 C、内存容量 D、存储数据位 6、下列属于冯?诺依曼计算机的核心思想是 A、存储器按地址访问 B、存储程序和程序控制 C、采用补码 D、采用总线

7、下列关于计算机系统层次结构的描述中,正确的是 A、不同层次面向不同用户,看到计算机的属性不同 B、低层代码执行效率比高层代码执行效率高 C、低层用户对硬件的透明性比高层用户要低 D、指令集架构层是软、硬件间的接口 8、下列关于硬件与软件关系的描述中,正确的是 A、硬件是软件运行的基础 B、硬件的发展推动了软件的发展 C、软件的发展也推动硬件的发展 D、软件能完成的功能及性能与硬件有关 9、下列关于计算机字长的描述中正确的是 A、字长一般与运算器的数据位相同 B、字长一般与通用寄存器的位数相同 C、字长一般与存储器数据位相同 D、字长一般与存储器的地址位相同 10、下列可用于评价计算机系统性能的指标是 A、MIPS B、CPI C、IPC D、字长 11、下列计算机系统性能评价的描述中正确的是 A、程序MIPS值越高,计算机的性能越高 B、程序的CPI值越低,计算机的性能越高 C、主频高的机器性能不一定高 D、同一程序在不同机器上运行时得到的MIPS值不一定相同 12、访问256KB的存储空间,需要的地址线数最少为( )根?(只需要填阿拉伯数字) 13、程序必须存放在哪里才能被CPU访问并执行 14、某计算机指令集中共有A、B、C、D四类指令,它们占指令系统的比例分别为40% 、20%、20%、20%, 各类指令的CPI分别为2、3、4、5;该机器的主频为600MHZ,则该机的CPI 为(保留到小数点后一位) 15、某计算机指令集中共有A、B、C、D四类指令,它们占指令系统的比例分别为40% 、20%、20%、20%, 各类指令的CPI分别为2、3、4、5;该机器的主频为600MHZ,则该机的MIPS为(保留到小数点后一位) 参考答案如下:

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理第四版课后习题答案完整版

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单

元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的 信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。

计算机组成原理选择题及答案

1. 冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是() A. 指令操作码的译码结果 B. 指令和数据的寻址方式 C. 指令周期的不同阶段 D. 指令和数据所在的存储单元 答案为:C 2. 假定变量i,f,d数据类型分别为int, float, double(int用补码表示,float和double用IEEE754单精度和双精度浮点数据格式表示),已知i=785,f=1.5678e3 ,d=1.5e100,若在32位机器中执行下列关系表达式,则结果为真的是() (I) i==(int)(float)i (II)f==(float)(int)f (III)f==(float)(double)f (IV)(d+f)-d==f A. 仅I和II B. 仅I和III C. 仅II和III D. 仅III和IV 答案B 3.一个C语言程序在一台32位机器上运行。程序中定义了三个变量x,y和z,其中x和z 是int型,y为short型。当x=127,y=-9时,执行赋值语句z=x+y 后,x、y和z的值分别是: A x=0000007FH , y=FFF9H , z=00000076H B x=0000007FH , y=FFF9H , z=FFFF0076H C x=0000007FH , y=FFF7H , z=FFFF0076H D x=0000007FH , y=FFF7H , z=00000076H 答案D 4. 某计算机主存容量为64KB,其中ROM区为4KB,其余为RAM区,按字节编址,现要用2K×8位的ROM芯片和4K×4位的RAM芯片来设计该存储 器,则需要上述规格的ROM芯片数和RAM芯片数分别是() A . 1、15 B . 2、15 C . 1、30 D . 2、30 答案D 5. 假定用若干个2K×4位芯片组成一个8K×8位的存储器,则地址0B1FH所在芯片的最小地址是() A. 0000H B. 0600H C. 0700H D. 0800H 答案D

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理模拟试题

计算机组成原理 1.(45.75)10=(___________)16 2.若[X]补=1.0110,则[1/2X]补=___________。 3.若X补=1.1001,按舍入恒置1法舍去末位得__________。 4.运算器的核心部件是__________。 5.动态MOS存储器的刷新周期安排方式有____________、 _____________、_____________。 6.若地址码8位,按字节编址则访存空间可达___________,若地址码10位,则访存空间可达_____________,若地址码20位,则访存空间可达_____________。 7.CPU中用于控制的寄存器有_______________________、 __________________ 和_____________________三种;8.控制器的组成方式可分为______________________和微程序控制器两类。 9.按数据传送方式,外围接口可分为_________________和 __________________。 10.指令中的操作数一般可分为_______操作数和_______操作数。11.申请掌握使用总线的设备,被称为__________。 12.某CRT显示器,分辨率800列╳600行,如果工作在256色模式下,则至少需要_________字节的显示存储器。 选择题: 1、浮点加减中的对阶是() A.将较小的一个阶码调整到与较大的一个阶码相同 B.将较大的一个阶码调整到与较小的一个阶码相同 C.将被加数的阶码调整到与加数的阶码相同 D.将加数的阶码调整到与被加数的阶码相同 2、下列哪一个属于检错纠码() A. BCD码 B. ASCII码 C. 奇偶校验码 D. 8421码 3、指令格式可表示为()和地址码的形态 A.指令码 B. 操作码 C.微指令 D. 寄存器码 4、在不同速度的设备之间传送数据( )

计算机组成原理第1章习题与答案

计算机组成原理第1章习题与答案 一、选择题 1.从器件角度看,计算机经历了五代变化。但从系统结构看,至今绝大多数计算机仍属于()计算机。 A.并行 B.冯·诺依曼 C.智能 D.串行 2.冯·诺依曼机工作的基本方式的特点是()。 A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存贮器按内容选择地址 3.在下面描述的汇编语言基本概念中,不正确的表述是()。 A.对程序员的训练要求来说,需要硬件知识 B.汇编语言对机器的依赖性高 C.用汇编语言编写程序的难度比高级语言小 D.汇编语言编写的程序执行速度比高级语言慢 4.(2009年考研题)冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是()。 A.指令操作码的译码结果 B.指令和数据的寻址方式 C.指令周期的不同阶段 D.指令和数据所在的存储单元 5.(2011年考研题)下列选项中,描述浮点数操作速度指标的是()。 A.MIPS B.CPI C.IPC D.MFLOPS 6.(2012年考研题)基准程序A在某计算机上的运行时间为100秒,其中90秒为CPU时间,其它时间忽略不计。若CPU速度提高50%,I/O速度不变,则基准程序A所耗费的时间是()秒。 A.55 B.60 C.65 D.70 7.(2013年考研题)某计算机主频为1.2 GHz,其指令分为4类,它们在基准程序中所占比例及CPI如下表所示。 该机的MIPS数是()。 A.100 B.200 C.400 D.600

8.(2014年考研题)程序P在机器M上的执行时间是20s,编译优化后,P 执行的指令数减少到原来的70%,而CPI增加到原来的1.2倍,则P在M上的执行时间是()。 A.8.4秒 B.11.7秒 C.14秒 D.16.8秒 9.(2015年考研题)计算机硬件能够直接执行的是()。 Ⅰ.机器语言程序Ⅱ.汇编语言程序Ⅲ.硬件描述语言程序 A.仅Ⅰ B.仅ⅠⅡ C.仅ⅠⅢ D.ⅠⅡⅢ 二、名词解释 1.吞吐量2.响应时间3.利用率 4.处理机字长5.总线宽度6.存储器容量 7.存储器带宽8.主频/时钟周期9.CPU执行时间 10.CPI 11.MIPS 12.FLOPS 三、简答题 1.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 2.冯·诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统应由哪些部件组成? 3.计算机系统分为哪几个层次?每层分别用软件还是硬件实现?

计算机组成原理选择题200道

【选择题200道】 1. 计算机系统中的存贮器系统是指__D____。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 2. 某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小 数为___ B __ 。 -32 -31 -32 -31 A+( 1 - 2 ) B + (1 - 2 ) C 2 D 2 3. 算术/ 逻辑运算单元74181ALU可完成_C ________ 。 A 16种算术运算功能 B 16种逻辑运算功能 C 16种算术运算功能和16种逻辑运算功能 D 4位乘法运算和除法运算功能 4. 存储单元是指_B ______ 。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 5. 相联存贮器是按—C―行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方 式 D 地址方式与堆栈方式 6. 变址寻址方式中,操作数的有效地址等于_C _____ 。 A 基值寄存器内容加上形式地址(位移量) B 堆栈指示器内容加上形式地址(位移量) C 变址寄存器内容加上形式地址(位移量) D 程序记数器内容加上形式地址(位移量) 7. 以下叙述中正确描述的句子是:__AD ___ 。 A 同一个CPU周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU周期中,不可以并行执行的微操作叫相斥性微操作 & 计算机使用总线结构的主要优点是便于实现积木化,同时_C_____ 。

计算机组成原理课后复习资料白中英主编第五版立体化教材

计算机组成原理第五版习题答案计算机组成原理第五版习题答案 第一章 (1) 第二章 (3) 第三章 (14) 第四章 (19) 第五章 (21) 第六章 (27) 第七章 (31) 第八章 (34) 第九章 (36)

1

计算机组成原理第五版习题答案第一章 1.模拟计算机的特点是数值由连续量来表示,运算过程也是连续的。数字计算机的主要特点是按位运算,并且不连续地跳动计算。模拟计算机用电压表示数据,采用电压组合和测量值的计算方式,盘上连线的控制方式,而数字计算机用数字0 和 1 表示数据,采用数字计数的计算方式,程序控制的控制方式。数字计算机与模拟计算机相比,精度高,数据存储量大,逻辑判断能力强。 2.数字计算机可分为专用计算机和通用计算机,是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 3.科学计算、自动控制、测量和测试、信息处理、教育和卫生、家用电器、人工智能。4.主要设计思想是:采用存储程序的方式,编制好的程序和数据存放在同一存储器中,计算机可以在无人干预的情况下自动完成逐条取出指令和执行指令的任务;在机器内部,指令和数据均以二进制码表示,指令在存储器中按执行顺序存放。主要组成部分有::运算器、逻辑器、存储器、输入设备和输出设备。 5.存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字。6.计算机硬件可直接执行的每一个基本的算术运算或逻辑运算操作称为一条指令,而解算某一问题的一串指令序列,称为程序。 7.取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 8.半导体存储器称为内存,存储容量更大的磁盘存储器和光盘存储器称为外存,内存和外存共同用来保存二进制数据。运算器和控制器合在一起称为中央处理器,简称CPU,它用来控制计算机及进行算术逻辑运算。适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调地工作。 9.计算机的系统软件包括系统程序和应用程序。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能用用途;应用程序是用户利用计算机来解决某些问题而编制的程序。 10.在早期的计算机中,人们是直接用机器语言来编写程序的,这种程序称为手编程序或目的程序;后来,为了编写程序方便和提高使用效率,人们使用汇编语言来编写程序,称为汇编程序;为了进一步实现程序自动化和便于程序交流,使不熟悉具体计算机的人也能很方便地使用计算机,人们又创造了算法语言,用算法语言编写的程序称为源程序,源程序通过编译系统产生编译程序,也可通过解释系统进行解释执行;随着计算机技术的日益发展,人们又创造出操作系统;随着计算机在信息处理、情报检索及各种管理系统中应用的发展,要求大量处理某些数据,建立和检索大量的表格,于是产生了数据库管理系统。 11.第一级是微程序设计级,这是一个实在的硬件级,它由机器硬件直接执行微指令; 第二级是一般机器级,也称为机器语言级,它由程序解释机器指令系统;第三级是操作系统级,它由操作系统实现;第四级是汇编语言级,它给程序人员提供一种符号形式语言,以减少程序编写的复杂性;第五级是高级语言级,它是面向用户的,为方便用户编写应用程序而设置的。用一系列的级来组成计算机的接口对于掌握计算机是如何组成的提供了一种好的结构和体制,而且用这种分级的观点来设计计算机对保证产生一个良好的系统结构也是很有帮助的。

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理练习题及参考答案

1.10111000当做无符号数的值为多少,当做整数的值为多少,当做定点小数的值为多少?(十进制数) 无符号:2^7+2^5+2^4+2^3=128+32+16+8=184 整数:10111000 定点小数:10111000 11000111(取反) 11000111(取反) + 1 + 1 11001000 11001000 -(2^3+2^6)=-72 -(1/2+1/16)=-9/16 2.已知接受到的信息为001100001111,其中有效数据位为8位,运用海明码检测,问信息传输是否有错?8位的数据值是多少? 编号 检测位 数据位 12 1100 0 M8 C1=M1⊕M2⊕M4⊕M5⊕M7=0 11 1011 0 M7 C2=M1⊕M3⊕M4⊕M6⊕M7=0 10 1010 1 M6 C4=M2⊕M3⊕M4⊕M8=0 9 1001 1 M5 C8=M5⊕M6⊕M7⊕M8=0 8 1000 0 C8 7 0111 0 M4 发:0111 6 0110 0 M3 收:0000 5 0101 0 M2 发 ⊕收=0111 4 0100 1 C4 即M4出错则数据实为00111001 3 0011 1 M1 2 0010 1 C2 1 0001 1 C1 3.已知原始报文为1111,生成多项式为G (x )=x 4+x 2 +x+1,求编码后的报文 (1):将生成多项式为G (x )=x 4+x 2 +x+1,转换成对应的二进制为10111 (2)生成多项式为5(R+1)位,将原始报文左移4(R)位为11110000 (3)进行模2除 _______00011__________ ______ 10111________________00010100_____________10111_______________010010________ 10111_____1101 11110000 10111 (4)编码CRC 码为11110011 4.采用IEEE754标准的32位短浮点数格式,即0-22位为尾数,23-30位为阶码位,第1位为数符,其中阶码偏置为127,试求出32位浮点代码CC9E23AF 的真值(结果可用任何进

计算机组成原理选择题

A.-127 ~127;B.-128 ~+128;C.-128 ~+127;D.-128 ~+128。 2.设机器数采用补码形式(含1位符号位),若寄存器内容为9BH,则对应的十进制数为______。A.-27;B.-97;C.-101;D.155。答案: 3.设寄存器内容为80H,若它对应的真值是–127,则该机器数是______。 A.原码;B.补码;C.反码;D.移码。答案: 4.若9BH表示移码(含1位符号位).其对应的十进制数是______。 A.27;B.-27;C.-101;D.101。答案: 5.当定点运算发生溢出时,应______ 。 A.向左规格化;B.向右规格化;C.发出出错信息;D.舍入处理。答案: 6.设寄存器内容为10000000,若它等于-0,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 7.设寄存器内容为11111111,若它等于+127,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 8.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同;B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同;D.阶符与数符不同。答案: 9.浮点数的表示范围和精度取决于______ 。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数; C.阶码的位数和尾数的位数;D.阶码的机器数形式和尾数的机器数形式。答案: 10. 在定点补码运算器中,若采用双符号位,当______时表示结果溢出。 A.双符号相同B.双符号不同C.两个正数相加D.两个负数相加答案:

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理第1章 习题及参考答案

第一章绪论习题及参考答案 一、判断题 1.微型计算机广阔的应用领域中,会计电算化属于科学计算应用方面。( ) 2.决定计算机计算精度的主要技术指标是计算机的字长。( ) 3.利用大规模集成电路技术把计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫做单片机。( ) 4.计算机“运算速度”指标的含义是指每秒钟能执行多少条操作系统的命令。() 5.兼容性是计算机的一个重要性能,通常是指向上兼容,即旧型号计算机的软件可以不加修改地在新型号计算机上运行。系列机通常具有这种兼容性。() 二、简答题 1.电子数字计算机与电子模拟计算机的主要区别是什么 2.简单描述计算机的发展过程和应用范围。 3.冯·诺依曼机的主要特点是什么 4.按照冯·诺依曼原理,现代计算机应具备哪些功能 5.如何理解软硬件之间的等价性 6.何谓绿色计算机对它有哪些要求 7.简单描述计算机的层次结构,说明各层次的主要特点。 8.计算机系统的主要技术指标有哪些 参考答案 一、判断题 1.错。会计电算化属于计算机数据处理方面的应用。 2.对。 3.错。计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫CPU。 4.错。“运算速度”指标的含义是指每秒钟能执行多少条指令。 5.错。兼容性包括数据和文件的兼容、程序兼容、系统兼容和设备兼容,微型计算机通常具有这种兼容性。 二、简答题 1.电子数字计算机的运算对象是离散的数字量,用数码进行运算,其运算结果也是离散的数字量;电子模拟计算机的运算对象是连续变化的物理量(如电流、电压等),其运算结果也是连续变化的物理量。数字计算机的运算速度快,运算精度高。现代所说的计算机都是电子数字计算机。 2.从1946年世界上第一台数字电子计算机ENIAC研制成功至今,计算机的发展经历了4个时

计算机组成原理练习题

填空题 1、存储器容量为256K,若首地址为00000H,则末地址为。 2、若某奇偶校验码编码为010000100,则采用的校验方案是。 3、DRAM存储器行、列地址要分两次打入,为了实现行、列地址的区分,需要给存储芯片提供地址选通信号和。 4、存储器容量的扩展有、和三种方式。 5、假设某计算机的存储系统由Cache和主存组成,某程序执行过程中访存1000次,其中访问Cache缺失(未命中)50次,则Cache的命中率是。 6、操作数有效地址出现在地址码位置的寻址方式称为寻址。 7、任何指令周期的第一步必定是周期。 8、当产生中断请求时,用程序方式有选择地封锁部分中断,而允许其余部分中断仍能得到响应,称为。 9、通常根据流水线使用级别的不同,可把流水线分成部件级、处理机级和系统级流水线,指令处理流水线属于级。 10、从计算机系统结构的发展和演变看,近代计算机采用以作为全机中心的系统结构。 11、十进制数-54表示成补码形式为(用1个符号位,7个数值位表示)。 12、磁表面存储器是以作为记录信息的载体,通过对信息进行记录和读取。 13、存储器间接寻址方式指令执行过程中,除取指外CPU还需要访问内存次才能获得操作数。 14、组成32M×8位的存储器,需要1M×4位的存储芯片片。 15、微指令格式分为型微指令和型微指令,其中,前者的并行操作能力比后者强。 16、在CPU中,存放后继指令地址的寄存器是。 17、若X的原码为01000011,其补码为,其移码为。 18、总线的仲裁方式有和两种。 19、引起中断的设备或事件称为。 20、虚拟存储器指的是__________层次,它给用户提供了一个比实际__________空间大得多的__________空间. 21、运算器的两个主要功能是:__________,__________。 22、计算机硬件由_______、_______、存储器、输入设备和输出设备五大部件组成。 23、奇偶校验法只能发现_______数个错,不能检查无错或_______数个错。 24、八进制数37.4Q转换成二进制数为__________。 25、数x的真值-0.1011B,其原码表示为____________。 26、条件转移、无条件转移、转子程序、返主程序、中断返回指令都属于__________类指令,这类指令在指令格式中所表示的地址不是__________的地址,而是__________的地址。27、直接内存访问(DMA)方式中,DMA控制器从CPU完全接管对__________的控制,数据交换不经过CPU,而直接在内存和__________之间进行。 28、RISC的中文含义是__________,CISC的中文含义是__________。 对于n+1位(包含一位符号位)的补码纯小数来说,它能表示的最小数据是。 29、、控制器产生控制信号的方法有与,其中需要有控制存储器支持的是。

计算机组成原理习题及答案54686word版本

计算机组成原理习题及答案54686

概论 一、选择题: 1.1946年研制成功的第一台电子数字计算机称为_B_。A.EDVAC B.ENIAC C.EVNAC D.EINAC 2.完整的计算机系统应包括__D_____.A..运算器、存储器、控制器 B.外部设备和主机 C.主机和存储器 D.配套的硬件和软件设备 3.计算机系统中的存储器系统是指__D____.A.RAM存储器 B.ROM存储器 C.内存储器 D.内存储器和外存储器 4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_____. A..节约元件 B.运算速度快 C.物理器件性能所致 D.信息处理方便 5.计算机硬件能直接执行的只有_B___. A.符号语言 B.机器语言 C.机器语言和汇编语言 D.汇编语言 二、填空题: 1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__. 2.在计算机术语中,将运算器和控制器合在一起称为_CPU__,而将_CPU__和存储器合在一起称为__主机__. 3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件. 4.计算机系统中的存储器分为_内存储器_和_外存储器_.在CPU执行程序时,必须将指令存放在_内存储器__中. 5.输入、输出设备以及辅助存储器统称为_外部设备___. 6.计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位. 7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息. 三、衡量计算机性能的基本指标有哪些? 答:1.基本字长 2.数据通路宽度 3.运算速度:包括CPU时钟频率和数据传输率 4.存储器的容量:包括主存储器的容量和外存储器的容量 5.外围设备及其性能 6.系统软件配置运算方法和运算器 一、选择题: 1.在机器数中,__B____的零的表示形式是唯一的. A.原码 B.补码 C.反码 D.原码和反码 3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B__码. A.原 B.补 C.反 D.移 4.运算器虽有许多部件组成,但核心部分是__B____. A.数据总路线 B.算术逻辑运算单元 C.多路开关 D.通用寄存器 5.在定点二进制运算器中,减法运算一般通过__D_____来实现. A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器

相关文档
相关文档 最新文档