文档视界 最新最全的文档下载
当前位置:文档视界 › 多路抢答器的设计报告

多路抢答器的设计报告

多路抢答器的设计报告
多路抢答器的设计报告

课程设计报告

学生姓名:学号:

学院:电气工程学院

班级: 电自

题目: 多路抢答器的设计

指导教师:职称:

年月日

目录

一、多路抢答器设计要求 (3)

二、方案比较 (3)

三、设计原理及框图 (4)

3.1设计原理框图 (4)

3.2工作原理 (4)

3.3基本功能 (5)

四、器件说明 (5)

4.18线-3线优先编码器74LS148 (5)

4.2基本RS触发器74LS279芯片 (6)

4.3单稳态触发器74LS121 (7)

4.4十进制可逆计数器74LS192 (8)

4.53线—8线译码器74LS138芯片 (8)

4.6显示译码器74LS47 (9)

五、设计过程 (11)

5.1抢答电路的设计 (11)

5.2显示电路 (11)

5.3倒计时电路 (12)

5.3.1 抢答倒计时 (12)

5.3.2选手答题倒计时 (13)

5.4秒脉冲产生电路 (14)

5.5报警电路 (15)

六、仿真调试过程 (15)

七、设计体会及收获 (16)

7.1抢答器的设计体会及收获 (16)

7.2收音机的设计原理、体会及收获 (17)

7.2.1 收音机的设计原理图 (17)

7.2.2 收音机的调试过程 (17)

7.2.3焊接收音机的体会及收获 (18)

参考文献 (18)

附录1 整体电路 (19)

附录2 器件表格 (20)

一、多路抢答器设计要求

(1)抢答开始时,由主持人按下复位开关清除信号,用发光二极管作为输出显示信号标志;

(2)当主持人宣布“抢答开始”后,先按键者相应的发光二极管点亮;

(3)有人按键被响应的同时,应有信号发出去锁住其余几个抢答者的电路,不再接收其它信号,直到主持人再次清除信号为止。当达到限定时间时,发出声响以示警告;

(4)在电路中设计一个计时功能电路,要求计时电路按秒显示,最多时限为1分钟,当时间显示一旦到达59秒,下一秒系统自动取消抢答权,信号被自动清除,抢答重新开始。亦可倒计时显示;

(5)至少4路信号抢答设计。

二、方案比较

方案一:

第一种方案流程图如下图1-2所示:

图2-1

抢答按钮连接控制电路,抢答开始,有选手按下抢答按钮后,控制电路是锁存器锁存各个抢答路的电平高低,从而锁存了第一位抢答者的抢答信号,同时切断其他抢答者的抢答信号,阻止其他选手的抢答。编码器将抢答结果译成二进制数送给下一级译码显示电路,译码显示电路显示抢答成功者的号码。声响电路可以发出提醒声响,定时电路有定时抢答功能,并能显示倒计时的时间。

该方案满足设计的功能要求,但是用锁存器锁存抢答结果这一思路有缺陷,就是可能有两个选手抢答时间间隔很小,两路的抢答信号同时锁存了起来,导致编码器编码出错,下一级译码显示电路不能显示抢答结果。

方案二:

第二种方案流程图如下图2-2所示:

图2-2 原理框图

它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时抢答的功能。

第二种方案和第一种方案基本一样,只是在第一种方案上做了改进,在锁存器和抢答按钮之间增加了优先编码器,避免了多路的抢答信号同时被锁存,编码器编码出错,下一级译码显示电路不能显示抢答结果的情况。综合两种方案,故选择第二种方案。

三、设计原理及框图

3.1设计原理框图

设计原理图见图2-2

3.2 工作原理

抢答未开始时,定时器显示“60”锁存器清零,抢答倒计时显示器显示“30”编号显示器不显示号码。按下主持人开关,抢答开始,定时器从“60”开始倒计时,同时蜂鸣器发出声响以提示选手抢答开始。当定时时间到,却没有选手抢答时,报警电路中的蜂鸣器会发出声响,并封锁输入电路,禁止选手超时抢答。选手在60秒内抢答时,抢答器完

成优先判断、编号锁存、编号显示、对应选手的发光二极管亮以及蜂鸣器发出声响。由主持人按键控制再次抢答的开始。

3.3 基本功能

(1)本设计是8路信号抢答器,可供8名选手或8个代表队比赛使用。抢答开始时,由主持人按下复位开关清除信号,蜂鸣器发出声响以示开始;

(2)抢答器具有锁存与显示功能。当主持人宣布“抢答开始”后,选手按动抢答开关,锁存相应的编号,并在LED数码管上显示,同时相应的发光二极管点亮。选手抢答实行优先锁存,有人按键被响应的同时,应有信号发出去锁住其余几个抢答者的电路,不再接收其它信号,优先抢答选手的编号一直保持到主持人按动清零开关将系统清除为止;

(3)抢答器具有定时抢答功能。在电路中设计一个计时功能电路,计时电路显示60秒后取消抢答权,由主持人开关控制新一轮的抢答。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续时间约0.5秒。如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示“00”。

四、器件说明

该部分主要介绍电路图中所用芯片的引脚图、功能表及作用。

4.1 8线-3线优先编码器74LS148

74LS148是TTL三位二进制优先编码器,双排直立封装74LS148的方框图见图4-1,

它有8线输入I

0—I

7

以及输入使能端E

I

共9个输入端;共有5个输出端,其中3线编码输

出Y

2-Y

,一个输出编码有效标志GS和一个输出使能端EO。74LS148的功能表见表4-1

由功能表知该芯片的引脚均为低电平有效。输入使能端E

I

低电平时实现8线-3线优先

编码功能,其中输入I

7

优先级最高GS输出低电平,表示此时输出是对输入的有效编码;

E

I

高电平时禁止输入,输出与输入无关均为无效电平。

图4-1双排直立封装74LS148方框图

表4-1 74LS148功能表

4.2 基本RS触发器74LS279芯片

74LS279采用DIP-16封装,四个锁存器中有两个具有置位端(SA,SB)。

当S为低电平、R为高电平时,输出端(Q)为高电平。当S为高电平、R为低电平时,Q 为低电平;当S和R均为高电平时,Q被锁在已建立的电平。当S和R均为低电平时,Q 为稳定的高电平状态。对SA和SB,S的低电平表示只要有一个为低电平,S的高电平表示SA和SB均为高电平。74LS279引脚图及内部逻辑图见图4-2

图4-2 74LS279引脚图及内部逻辑图

表4-2 74LS279功能表

4.3 单稳态触发器74LS121

74LS121是在普通微分型单稳态触发器的基础上增加一个输入控制电路和一个输出缓冲电路构成的集成单稳态触发器如图4-3。输入控制电路实现了触发脉冲宽度转换功能以及触发脉冲边沿选择功能。输出缓冲电路则提高了电路的负载能力。74LS121管脚和逻辑如下图4-3

图4-3 74LS121管脚图和逻辑图

管脚3(A1)、4(A2)是负边沿触发的输入端;管脚5

(B)是同相施密特触发器的输入端,对于慢变化的边沿也

有效;管脚10(Cext)和管脚11(Rext/Cext)接外部电容

(Cx),电容范围在10pF~10μF之间;管脚9(Rint)一般

与管脚14(VCC,接+5V)相连接;管脚11为外部定时电阻

端时,应该将管脚9开路,把外接电阻(Rx)接在管脚11和

管脚14之间,电阻的范围在2~40kΩ之间。管脚7(GND)、

管脚2、8、13为空脚。其功能表见表4-3

表4-3 74LS121功能表

4.4 十进制可逆计数器74LS192

74LS192是属8421BCD码的十进制计数器,其引脚见图4-4

其中MR是异步清零端,高电平有效。PL是并行置数端,低电平有效,且在MR=0有效。CPU和CPu是两个时钟脉冲,当CPd=1,时钟脉冲由CPU端接入。并且MR=0,PL=1时,74LS192处于加法计数状态;当CPu脉冲从CPd端输入,且MR=0,PL=1时,74LS192处于减法计数状态;CPd=CPu=1时,计数器处于保持状态。TCu是进位端,TCd是借位端。

图4-4 74LS192引脚图

表4-4 74LS192功能表

4.5 3线—8线译码器74LS138芯片

74LS138是一种常用的集成译码器,其输入A0、A1、A2是三位二进制代码,输出有8中状态,即有8个输出端Y0—Y8,且均为低电平有效,

此外输入还含有三个使能端CS1、CS2、CS3可组合控制译码器的选通和禁止,具有扩展成更多位的译码电路和实现数据分配的功能。

图4-5 74LS138引脚图

表4-5 74LS138功能表

4.6 显示译码器74LS47

74LS47是显示译码器,可驱动共阳极的数码管,芯片引脚如下图4-6

其中,A3,A1,A2,A0为输入端,输入四位8421BCD码;a-g为输出端,分别接至七段数码管的a-g端。

LT:试灯输入端,是为了检查数码管各段是否能正

常发光而设置的。当LT=0时,无论输入A3 ,A2 ,A1 ,A0为何种状态,译码器输出均为低电平,若驱动的数码管正常,是显示8。

BI:灭灯输入,是为控制多位数码显示的灭灯所设置的。BI=0时,不论LT和输入A3 ,A2 ,A1,A0为何种状态,译码器输出均为高电平,使共阳极7段数码管熄灭。图4-6 74LS47引脚图

RBI:灭零输入,它是为使不希望显示的0熄灭而设定的。当对每一位A3= A2 =A1 =A0=0时,本应显示0,但是在RBI=0作用下,使译码器输出全1,其结果和加入灭灯信号的结果一样,将0熄灭。

RBO:灭零输出,它和灭灯输入BI共用一端,两者配合使用,可以实现多位数码显示的灭零控制。

74LS47功能表如下表4-6:

表4-6 74LS47功能表

五、设计过程

5.1 抢答电路的设计

抢答电路选用由8个开关、优先编码74LS148和RS触发器74LS279组成,具有两个功能,一是能分辨出选手按键的的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。其电路组成如图5-1所示:

图5-1 抢答电路

其中,R1~R9是限流电阻,按下开关, 74LS148的输入端由高电平变为低电平。5.2 显示电路

选手编码及指示灯显示电路主要由RS触发器、显示译码器、138译码器、共阳极数码管、两输入与门、LED灯及限流电阻构成。

图5-2 选手编码及指示灯显示电路

工作过程: 首先,主持人开关打开,当无人抢答时,74LS148的8个输入端均为高电平,RS触发器输出端1Q ~ 4Q 也为高电平,经过74LS138译码器译码后输出高电平,指示灯全灭,数码管不显示。当有人抢答时,例如4号被按下,RS触发器的输入端变为低电平,在时钟脉冲信号的作用下,输出端立即变为低电平,对应的指示灯亮,同时数码管显示为4。经过门电路控制输出为高电平,此时EI为高,74LS148被封锁,其他抢答者再按下按钮也不起作用,从而实现了抢答。若要清除,则由主持人按下开关,完成清零( 复位) ,开始下次抢答。

5.3 倒计时电路

5.3.1 抢答倒计时

如图5-3所示,利用两片74LS192计数脉冲信号,采用同步置数的方式,将第一片初始设为6,第二片设为0,将第一片的DOWN接到第二片的BO端,当芯片二从9减到0,

BO端发出借位信号给芯片一的DOWN端,芯片一减1,当芯片减为0时,芯片二的BO输出借位信号,并通过此信号来将脉冲信号封锁,从而停止计时。

图5-3 -1 抢答倒计时电路

5.3.2选手答题倒计时

该电路原理与抢答倒计时电路的原理相似,都是用74LS192计数脉冲信号。所不同的是,处于经济性考虑,74LS192的输出端输出8421码直接四个输入端数码管,LOAD端接RS触发器的4Q端。当有选手抢答时,编码器的EI变为低,再经过RS触发器的4Q端给74LS192置数成“30”,即开始选手的答题倒计时。

图5-3-2 选手答题倒计时

5.4 秒脉冲产生电路

秒脉冲的产生电路如下图所示,由LM555构成的多谐振荡器构成。

T=0.7(R

17+2R 24)C 3

脉冲频率计算:图,R 17=10k ,

R 24=68k ,C 3=10uF ,

f=1/0.7×(10k+2×68k )×10×10-6

≈1S 。 如果定时抢答时间已到而没有选手

抢答,13引脚(BO )输出低电平,LM555的时钟

脉冲不能通过74LS00,74LS192由于没有时钟

脉冲输入,停止减计数,时间显示静止不动。

图5-4

秒脉冲产生电路

如果中途有选手抢答,74LS279的13引脚(2Q2)输出高电平,经74LS00反向成低电平0输入74LS00的其中一端,使时钟脉冲不能通过74LS00,74LS192由于没有时钟脉冲输入,停止减计数,时间显示静止不动。

5.5 报警电路

报警电路如下图5-5所示,主要由单稳态触发器SN74121和蜂鸣器组成。其中的三极管为共射级,具有电压跟随的作用,且可以放大电流,提高带负载能力以驱动蜂鸣器。

蜂鸣器接上电源就能发出声音,相比于使用扬声器而言,省去了音频振荡电路,使电路更加简单可靠。其中R18=100K、C15=10uF,单稳态触发器触发后的暂态持续时间大约是0.5S,也就是蜂鸣器持续发出声响时间是0.5S。SN74121的5引脚(B)接S1的一端,当S1拨向开始后,5引脚(B)电平变高电平,有一个上升沿,触发器触发,蜂鸣器发出声响。

74LS08输出端接SN74121的3引脚(A1),输入端接74LS148的14引脚(GS),抢答开始后,SN74121的5引脚(B),4引脚(A2)为高电平,当有选手按下按钮后, 74LS148的14引脚(GS)输出一个负脉冲,触发器触发,蜂鸣器发出声响。与门74LS08起到时延的作用,保证电路的时序的正确性,使电路能正常工作。

SN74121的4引脚(A2)接秒十位的74LS192的13引脚(BO),没有选手抢答时,当定时抢答时间到时, SN74121的5引脚(B),3引脚(A1)为高电平,秒十位的74LS192的13引脚(BO)输出低电平,使SN74121的4引脚(A2)有一个下降沿,触发器触发,蜂鸣器发出声响。

图5-5 报警电路

六、仿真调试过程

调试过程中遇到的问题:

(1)当8号选手按下开关时,数码管显示0,而无法显示8。

解决方案:采用或非门组成的变号电路,将RS锁存器翰出的“000”变成“1”,送到译码器的A3端,使第“0”护组的抢答信号变成4位信号"100",则译码器对“100”译码后,使显示电路显示数字“8"。由于采用了或非门,所以对"000"信号加议变换时,不会影响其他组号的正常显示。

(2)电路在仿真时,发光二极管无法正常工作

解决方案:经示波器的观察和电压表的测量,发现直接将二极管接入5V的电压会烧坏管子,因此仿真时不允许这么接,要接限流电阻以防烧坏元器件。

(3)倒计时到00后,选手仍能抢答有效,数码管显示选手编号

解决方案:通过门电路控制74LS148N的EI端,当显示器显示到00时,八个引脚输出全为0,经非门后输出为1,因为EI低电平有效,所以此时优先编码器时能端无效,使得选手抢答无效,数码管不显示选手编号

(4)在设计过程中出现电路错误而不知道哪里出现错误的问题

解决方案:在电路的连接阶段,出现了某个功能无法实现而不知道哪里出现问题的现象,这种情况可以利用示波器检查电平的方式进行特殊的高低电平检查,从而缩小故障范围,进而排除故障,使电路正常工作。

七、设计体会及收获

7.1 抢答器的设计体会及收获

经过三周的课程设计,我学会运用仿真软件multisim 10,并会把数字、模电的知识应用于实践。在电路设计中我复习了以前学过的数电的知识,通过翻阅大量的资料以及和同学的互相沟通,我知道了一些新的器件,不仅了解到硬件与硬件的联系,也了解到一些元器件在电路的运用。逐渐学会了如何解决现有的问题,也掌握了一些分析电路的基本方法,进一步提高了对数字电子电路的设计能力。最终我克服了设计中遇到的难题,并成功地作出了设计。

此外,我总结出了几条设计的原理。第一,在设计仿真和电路的搭接调试过程中,需要分模块进行,首先设计或搭接单元电路,然后检验其正确与否,当所有单元单路设计或搭接完成后,再进行电路的整体联接。第二,理论与实际的联系,在学习的过程中必须将理论知识应用于实践。第三,脉冲信号的控制:可用与门实现脉冲信号的控制,在某个时

候封锁脉冲只需找到在这个时候之前为高电平,这个时候之后为低电平的信号,然后将之与脉冲相与,便得到了在特定时刻以后出现的脉冲信号。

7.2 收音机的设计原理、体会及收获

7.2.1 收音机的设计原理图

图7-1 收音机原理图

7.2.2 收音机的调试过程

一台不经过调试的收音机,可能收不到电台或声音很小,在调试前应对照印刷电路图认真检查元器件有无错漏的地方,焊点之间有没有短路现象,元器件引线之间有无相碰现象等。第一,调整体及晶体三极管的静态工作点:晶体三极管的工作状态是否合适,会直接影响整机的性能,严重时甚至使整机不能工作。所谓工作状态的调整主要是指集电极电流的调整。图1中有“X”的地方为电流表接入处,线路板上留有四个测量电流的缺口,分别A、B、C、D四个点,将电位器的开关打开(音量旋至最小静电电流),用万用表的10mA档测量各点的三极管静态电流Ic1≈0.3mA,Ic2≈=0.5mA,Ic4≈=2mA,Ic5,6≈1.5mA,测量值与上述值差不多时可用。电烙铁将这四个缺口依次连接,再把音量开到最大,调双联拨盘即可收到电台声音。如果遇到某一级电流太大或太小时首先重点检查这一级三级的极性和质量,然后检查三极管周围元件是否有问题。第二,调整频率范围:就是通过最好使用调整中周的磁帽,使它谐振在465KHz上。调中周的工具应该使用无感起子,调中周

最好使用高频信号发生器,使高频信号发生器输出465KHz的中频信号,用1KHz音频调制,调制度旋30%。调整的方法是:首先,将本机振荡回路用导线短路,使它停振,,以避免造成对中频调试工作的干扰。然后,将双联电容调到最大(逆时针到底)。打开收音机到电源开关K,将音量电位器RP旋到最大,信号发生器的输出头碰触VT2的基极,调整T4,使扬声器发出1KHz的响声最响。然后由后级往前级,从基极输入信号,仅调整T3、T4,使扬声器中声音最响,中频就调整好了。如果没有高频信号发生器,也可以利用一台成品收音机做信号源。从成品收音机的第二中周的次级(检波之前)焊出一根导线,串联一个0.01uF的电容器作为中频输出端头,成品收音机调准一个电台,音量电位器旋到最小位置,测试调整方法同上。

7.2.3焊接收音机的体会及收获

焊接收音机前一定要有一个完整的策划,要充分了解焊接的方法及注意事项;焊接时要先把器件摆放好,并且要严格检查器件型号,然后再开始分块焊接,从右侧的电源开始焊接,并逐级检测电路是否好使;焊接完成后要充分调试整体电路。

当最后看着作品功能都实现的时候,我感觉很是欣慰,多天的努力没有白费。这次课程设计终于完成了,我学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,这些都令我受益匪浅。在以后的学习和实践中,我一定会更加努力,最后感谢老师在课程设计阶段给予的指导与帮助!

参考文献

[1]韩学军. 数字电子技术基础【M】.北京:中国电力出版社

[2]康华光.电子技术基础【M】.北京:高等教育出版社.

[3]阎石.数字电子计数基础.高等教育出版社.1998

[4]谢自美.电子线路设计·实验·测试(第三版).华中科技大学出版社.2006

[5]张庆双.电子元器件的选用与检测[M].机械工业出版社,2002

附录1 整体电路

附录2 器件表格

多路抢答器的设计与实现设计说明

多路抢答器的设计与实现 [摘要] 本设计是以八路抢答为基本理念,考虑到因活动规则的改变,需设定不同时长的限时抢答、回答问题的功能。利用STC89C52单片机及外围接口实现的抢答系统,以及单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够设定不同的抢答时间和答题时间,能够正确地进行倒计时,同时使数码管能够正确地显示时间以及选手编号。用矩阵键盘进行活动前的时间设定工作,用开关作为选手抢答按钮输出,用蜂鸣器来发出违规报警和倒计时提醒。同时本设计系统能够实现:在活动中,只有主持人按下开始抢答按钮后,选手的抢答才为有效,如果选手在开始抢答前抢答则为无效;抢答限定时间和回答问题的限定时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答;正确按键后有声音提示;抢答时间和回答问题时间用数码管进行倒计时显示,满时后系统计时自动复位及主控强制复位;有按键锁定,在有效状态下,按键无效非法。 [关键词]STC89C52单片机 LED数码管抢答器计时

Design and Implementation of the multi-channel Responder Tian Pengfei (Grade 07,class 084, Shaanxi University of Technology,Hanzhong 72300x, Shaanxi) tutor: Hu bo [Abstract]The design is based on eight Responder as the basic concept, taking into account the changes in the activity rules need to set the time length limit Responder, answer questions function. The Responder system, STC89C52 microcontroller and peripheral interface implementation and timing microcontroller timer / counter and count the principle of software, hardware organically combined, making the system able to set a different answer in time and answer time, able to correctly to the countdown, digital tube able to correctly display the time and the player number. Matrix keyboard work activities before the time set switch as players answer in the button output, use the buzzer to issue the violation alarm and countdown to remind. The same time, the design system can be achieved: At the event, only moderators began to answer in the button is pressed, the players of the answer in order to effectively, if the players before the start Responder Responder invalidity; a limited time to answer in a limited time and answer questions 1-99s setting; can show which players answer in an effective and invalid answer in; the right button a voice prompt; answer in time and answer questions time countdown display with digital tube, the full system time is automatically reset and master compulsory reset; keys are locked in an effective state, the button is not illegal. [Key words] Single-chip LED digital tube Responder timing

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

三路抢答器设计

数字电路课程设计 一、设计任务和要求: 1. 抢答器同时供3名选手抢答,分别用3个按钮D1、D2、D3表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数 码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人 将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为8秒。当主持人启动"开始"键后, 定时器进行计时(0-7)。 5.主持人没有闭合开关之前,有人抢答,数码管显示对应的选手编号,且红灯亮,当 所有选手闭合自己对应的开关时,编号数码管清为0。 6. 参赛选手在设定的时间内进行抢答,抢答有效,计数器停止工作,显示器上显示 选手的编号和抢答的时间,绿灯亮,并保持到主持人将系统清除为止。 7. 如果定时时间已到,无人抢答,本次抢答无效,定时显示器上显示8,且黄灯亮以 示警告时间已到,若有人抢答,显示抢答人组号并锁存,直到主持人将系统清零, 即黄灯灭,两个数码管显示都为0。

二、 实验框图: 1、设计方案 : 抢答器具有锁存、定时、显示功能。抢答之前,两个数码管显示为0,即主持人没有按下开始按钮之前,有人抢答,亮红灯给以警告,数码管显示对应的选手编号,当选手闭合自己的开关时,对应数码管显示为0;当主持人按下开始按钮后,进行抢答,若有人抢答,锁存器锁存选手号和抢答时的时间,同时亮绿灯,直到主持人清零,系统才能再次正常工作;如果时间已到,没有人回答,黄灯亮,即在8秒的时刻,如果有选手抢答,锁存器锁存选手号,数码管并将选手号显示出来,直到主持人清零。 2、系统框图 : 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行计时,并通过译码器在数码管中显示。当某选手按开关键时,通过控制电路控制锁存器的使能端,并在锁存器中锁存,在输出端产生相应的开关电平信息,然后在译码器中译码,将编码器输出的8421BCD 转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。 161全加器 抢答按钮 75锁存器 48译码器 显示译码 555秒脉冲产生器器 48译码器 显示译码 控制电路 主持人开关 报警灯

八路抢答器设计(附源程序)

烟台大学单片机课程设计说明书课题:八路抢答器 学生姓名: 学号: 院系:机电汽车工程学院 专业:机械设计制造及其自动化 指导老师: 同组成员: 组长: 2012 年06 月07 日 目录

1 概述 (2) 2设计任务 (2) 3 系统总体方案 (3) 4 硬件设计 (4) 控制系统所需硬件 (4) 硬件原理介绍 (4) 5 软件设计 (7) 软件总体设计 (7) 程序流程图 (8) 6 Proteus软件仿真 (12) Keil软件 (12) 在Proteus软件 (12) 7小结 (14) 8心得体会 (15) 附1:源程序代码 (16) 附2:参考文献 (24) 1 .概述

8路智能抢答器的设计 现如今,各种智力知识竞赛已经成为人们的一种娱乐形式,人们在答题的过程中不仅可以享受到乐趣,还可以学到一些科学知识和生活常识。然而在抢答过程中,单靠视觉是很难判断出哪组最先完成抢答操作。为了辨别哪一组或哪一位选手获得答题权,必须要设计一个智能抢答控制系统——智能抢答器。 抢答器作为一种电子产品,已被人们所熟知并广泛应用于各种智力知识竞赛场合。抢答器在竞赛中有很大用处,通过抢答器的指示灯显示,数码管显示和警示蜂鸣等手段,能准确,公正,直观地判断出第1抢答者并协助比赛的顺利进行。但是,目前使用的抢答器大多数都采用了逻辑电路进行设计,分立元件较多,造成抢答器的成本较高。此外一般抢答器由模拟电路,数字电路或二者结合组成,其智能化程度低,故障率高,显示简单。现代电子技术的发展要求电子电路朝数字化,集成化方向发展,因此设计出全集成电路的多路抢答器是现代电子技术发展的要求。 2 .设计任务 本设计要求学生结合现有的实际条件,以单片机为控制核心,设计一个8路智能抢答器。要求实现的功能如下: 1) 抢答器可同时供8名选手或8个代表队比赛,分别用8个按键S1~S8进行抢答。 2) 主持人可以通过智能抢答器的按键设定每道题的抢答时间和回答时间。 3) 具有清零和非法抢答控制功能,并由主持人操纵,避免选手在主持人说“开始”前提前抢答,违反规则。 4) 当主持人启动“开始抢答键”后,定时器进行减计时,在10s内无人抢答表示所有参赛选手或参赛队对本题弃权,抢答时间耗尽后禁止抢答。 5) 倒计时5s时,如果仍无人抢答,则系统每1s报警一次,用以提示参赛选手。 6) 抢答器具有锁存与显示功能。即选手按下按键,锁存相应选手的参赛号码,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,其他按键者将不能响应,以便公平地选择第一个抢答者。 7) 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编号同时进入回答问题的30s倒计时。 8) 倒计时期间,如果主持人想终止倒计时,可以按下“停止”按键,系统

基于stm32的四路抢答器课程设计说明书大学论文

课程设计说明书题目:基于STM32的四路抢答器 学院: 年级专业: 学号: 学生姓名: 指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计。 本文实现了一个基于嵌入式STM32单片机的4路抢答器系统设计,本系统设计主要分为硬件设备和软件控制两大部分。外部硬件使用STM32单片机作为控制中心,用4个按键作为抢答输入,抢答开始后,抢答成功者的LED灯标识为红色闪烁,并且显示各抢答输入的时间。 关键词:智能抢答器,STM32,按键输入,数码管显示

目录 摘要 (2) 目录 (3) 第1章绪论 (5) 1.1课题研究的相关背景 (5) 1.2选题的目的和意义 (5) 1.3课题研究的内容 (5) 1.4国内外研究现状 (6) 1.5抢答器目前存在的主要问题 (6) 第2章抢答器的系统概述 (7) 2.1系统的主要功能 (7) 2.2抢答器的工作流程 (7) 2.3STM32的功能及简介 (7) 2.4抢答器的优点及组成 (10) 2.5本章小结 (11) 第3章系统的硬件设计与开发 (11) 3.1系统硬件总体设计 (11) 3.2STM32最小系统 (12) 3.4时钟频率电路的设计 (14) 3.5复位电路的设计 (15) 3.6数码管显示 (16) 3.7键盘电路的设计 (16) 3.8LED电路 (18) 3.9本章小结 (18) 第4章系统的软件设计与开发 (19) 4.4主要程序分析 (20) 4.5本章小结 (29) 第5章总结与展望 (30) 5.1总结 (30)

多路抢答器设计

课程设计报告 学生姓名:刘科学号:2014303010328 学院:电气工程学院 班级: 电自1418 题目: 多路抢答器设计 指导教师:杨修宇职称: 助理实验师 指导教师:张光烈职称: 副教授 2016年 7 月 7日

一.设计要求 设计一台四路抢答器,具体要求如下: (1)抢答开始时,由主持人按下复位开关清除信号,用发光二极管作为输出显示信号标志。 (2)当主持人宣布“抢答开始”后,先按键者相应的发光二极管点亮; (3)有人按键被响应的同时,应有信号发出去锁住其余几个抢答者的电路,不再接收其它信号,直到主持人再次清除信号为止。当达到限定时间时,发出声响以示警告。 (4)在电路中设计一个计时功能电路,要求计时电路按秒显示,最多时限为1分钟,当时间显示一旦到达59秒,下一秒系统自动取消抢答权,信号被自动清除,抢答重新开始。亦可倒计时显示。 二.设计原理及框图 如图1所示为四路抢答器的电路框图。其工作原理为:接通电源后,主持人将开关拨到“开始”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,可以通过按按钮的快慢来决定由谁来回答,按得快的选手的编号显示在电子显示管上,抢答器完成(优先编码判断、编号锁存、编号显示、扬声器提示)。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。当一轮抢答时间结束后而四位选手没有抢答,定时器显示为零。如果再次抢答必须由主持人再次操作“开始”和“开始”状态开关,主持人按下开关后所有的显示及工作状态回到初始状态,以便进行下一次答题。 整个电路框图主要分为抢答电路和倒计时电路两部分,其中抢答器电路由编码器电路,触发器电路,译码器电路,数码管显示电路组成。译码电路用来译出编码,数码显示部分用来显示按下的选手号码。另一部分倒计时电路用来显示选手抢答剩余时间,由减法计数器和时钟振荡电路构成。

三路抢答器课程设计

设计题目 三路抢答器的PLC控制 1 主要内容 该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。 2 具体要求 1.主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。 2.主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。 3.主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。 4.主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。 5.抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。 6.答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。 答题过程中灯光、音响的安排及注意事项见课程设计指导书。 在上述具体的要求下,完成硬件电路的制作和接线,PLC控制程序的设计及联机调试,直至满足要求。 3 进度安排

1.理解课程设计内容及设计要求,查阅资料(第一周的星期一)。 2. PLC外围硬件电路的制作和接线,构思设计方案(第一周的星期二至星期三)。 3. PLC控制程序设计、程序调试及系统的总体调试,撰写课程设计报告(第一周的星期四至第二周星期四)。 4. 课程设计答辩、批改设计报告,登载成绩(第二周星期五)。 4 完成后应上交的材料 PLC外围硬件连接电路、程序清单及课程设计总结报告。 6 总评成绩 指导教师签名日期年月日系主任审核日期年月日 目录 绪论 (3) 第一章系统设计内容及要求 (1) 第二章硬件设计 (3) 2.1硬件选取 (3) 2.1.1 三菱PLC可编程控制器 (3) 2.1.2 按钮板块 (3)

根据单片机的三路抢答器的设计王辉

基于单片机的三路抢答器的设计 1课程设计的任务与要求 1.1 课程设计的任务 (1)设计一个可供3人进行的抢答器。 (2)系统设置复位按钮,按动后,重新开始抢答。 (3)抢答器开始时数码管显示序号00,选手抢答实行优先显示,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响,并且不出现其他抢答者的序号。 (4)抢答器具有定时抢答功能,且一次抢答的时间有主持人设定,本抢答器的时间设定为30秒,当主持人启动“开始”开关后,定时器开始减计时。 (5)设定的抢答时间内,选手可以抢答,这时定时器停止工作,显示器上显示选手的号码和抢答时间。并保持到主持人按复位键。 1.2 课程设计的要求 (1)基于单片机的三路抢答器的设计,并用Proteus设计与仿真出来。 (2)程序用Keil编程出来,并且生成Hex文件。 (3)设计的方案要能够长期,有效,稳定的运行。 (4)力求简单实用。 1.3 课程设计的研究基础 本设计是以三路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT89C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答时间和回答问题时间倒记时显示,满时后系统计时手动复位。 2 基于单片机三路抢答器系统方案制定 2.1 方案提出 方案一:

图1 方案一设计方案 方案二: 图2 方案二设计方案 2.2 方案比较 第一个方案比第二个方案多了一个驱动电路,所以第一个方案的电路会比较复杂。 2.3 方案论证 该系统采用51系列单片机AT89C52作为控制核心,该系统可以完成运算控制、信号识别以及显示功能的实现。由于用了单片机,使其技术比较成熟,应用起来方便、简单并且单片机周围的辅助电路也比较少,便于控制和实现。整个系统具有极其灵活的可编程性,能方便地对系统进行功能的扩张和更改。 2.4 方案选择 通过以上两个方案的比较,选择第二个方案。 3 基于单片机三路抢答器系统方案设计 3.1各单元模块功能介绍及电路设计

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

多路抢答器的课程设计

多路抢答器课程设计报告 专业:电子信息工程 课程:多路抢答器设计 学生姓名学号:201312700053方秋实 201312700107李炳均 201312700103吴桐光 201312700102钟燏 201312700078孔健 2016年 6月

多路抢答器设计 摘要随着电子技术的飞速发展,基于单片机的控制系统已应用于工业、农业、 电力、电子、智能楼宇等行业,微型计算机作为嵌入式控制系统的主体与核心,代替了传统的控制系统的常规电子线路。 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用STC89C52单片机及外围接口实现的抢答系统,利用单片机的单线程无线循环的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时。同时使数码管能够正确地显示时间,并且给出指令的提示。系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在20S设定;可以显示是哪位选手有效抢答,正确回答后,主持人按下加分按键,基本分是选手分数加10分,选手答错扣10分;回答问题时间倒记时显示,倒计时完后系统自动跳回时钟模式。 关键词:STC89C52;单片机;数码管;抢答器;智能 1 引言 目前各种各样的竞赛越来越多,无论是学校、工厂、军队还是益智性电视节目,其中用到抢答器的概率非常大。目前很多抢答器基本上采用小规模数字集成电路设计,使用起来不够理想。因此设计一款更易于使用和区分度高的抢答器成了非常迫切的任务。现在单片机已进入各个领域,以其功耗小、智能化而著称。所以若利用单片机来设计抢答器,便使以上问题得以解决。针对以上情况,本课程设计出以STC89C52单片机为核心的多路抢答器。它能根据不同的抢答输入信号,经过单片机的控制处理并产生不同的与输入信号相对应的输出信号,最后通过数码管显示相应的路数和答题时间以及各项命令等,使竞赛真正达到公正、公开、公平。

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

多路智力抢答器程序

实验四多路智力抢答器 一、实验目的 1.熟悉智力竞赛抢答器的工作原理 2.掌握抢答电路、优先编码电路、锁存电路、定时电路、报警电路、时序控制电路、 译码电路、显示电路及报警电路的设计方法 二、实验任务 基本功能 1.设计一个多路智力竞赛抢答器,同时供8个选手参赛,编号分别为0到7,每个用 一抢答按键。 2.给节目主持人一个控制开关,实现系统清零和抢答的开始。 3.具有数据锁存和显示功能。抢答开始后,如果有选手按下抢答按键,其编号立即锁 存并显示在LED上,同时扬声器报警。此外,禁止其他选手再次抢答。选手编号一直保存到主持人清除。 扩展功能 1.具有定时抢答功能,可由主持人设定抢答时间。当抢答开始后,定时器开始倒计时, 并显示在LED上,同时扬声器发声提醒。 2.选手在规定时间内抢答有效,停止倒计时,并将倒计时时间显示在LED上,同时 报警。 3.在规定时间内,无人抢答时,电路报警提醒主持人,次后的抢答按键无效。 三、方案设计 1.原理框图: 抢答按键优先编码器数据锁存器显示译码抢答显示 主持人 时序控制电路报警电路 控制开关 脉冲产生电路定时电路显示译码定时显示 2.原理简述

定时抢答器的总体框图如上图所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。 定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:①优先缎电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;②扬声器发出短暂声响,提醒节目主持人注意;③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;④控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。 四、电路设计 1.抢答部分 电路功能:一是将抢答选手的编号识别出并锁存显示到数码管上,二是使其他选手按键无效;三是有人抢答时输出时序控制信号,使计数电路停止工作并报警。 原理图: 与其他电路的接口: S:输入,与主持人总控相接,此处控制数码管的清零; /ST:输入,74148的使能控制端(由7400输入); /YEX:输出,报警时序控制(与74121相连); CTR:输出,报警时序控制(与7400相连); 具体原理:该部分主要由74148优先编码器、锁存器74279、译码器7448组成和按键、

三路抢答器的设计与仿真

《基础强化训练》报告书 题目:三路抢答器 专业班级:电子0903 学生姓名: 指导教师: 武汉理工大学信息工程学院 2011 年7 月8 日

基础强化训练任务书 学生姓名:专业班级: 指导教师:工作单位:武汉理工大学 题目:三路抢答器的PCB板设计 一、训练目的 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 二、训练内容和要求 1、基础课程和基本技能强化训练 (1)设计一个三路抢答器电路; (2)对所设计电路的基本原理进行分析; 2、文献检索与利用、论文撰写规范强化训练 要求学生掌握基本的文献检索方法,科学查找和利用文献资料,同时要求学生获得正确地撰写论文的基本能力,其中包括基本格式、基本排版技巧和文献参考资料的写法、公式编排、图表规范制作、中英文摘要的写法等训练。 3、基本动手能力和知识应用能力强化训练 (1)学习PROTEL软件; (2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 三、初始条件 计算机;Microsoft Office Word 软件;PROTEL软件 四、时间安排 1、2011年7 月11日集中,作基础强化训练具体实施计划与报告格式要求的说明; 学生查阅相关资料,学习电路的工作原理。 2、2011 年7 月11 日,电路设计与分析。 3、2011 年7 月12日至2011 年7 月14日,相关电路原理图和PCB版图的绘制。 4、2011年7 月15日上交基础强化训练成果及报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

基于单片机的多路抢答器设计

长沙学院 《单片机原理及应用》 课程设计说明书 题目基于单片机的多路抢答器设计系(部) 电子与通信工程系 专业(班级) 姓名 学号 指导教师 起止日期

《单片机原理及应用》课程设计任务书

长沙学院课程设计鉴定表

目录 目录 目录 (4) 1设计内容与要求 (5) 2 设计方案 (6) 3.电路仿真图 (7) 4.程序框图 (9) 5.心得体会 (10) 6.参考文献: (10)

概要 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参 赛队的输入信号在显示器上输出用控制电路和主持人开关启动报警电路,以上两部分组成主体电路.通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。单片机体积小价格低,应用方便,稳定可靠。单片机将很多任务交给了软件编程去实现,大大简化了外围硬件电路,使外围电路的实现简单方便。单片机系统的硬件结构给予了抢答系统“身躯”,而单片机的应用程序赋予了其新的“生命”,使其在传统的抢答器面前具有电路简单、成本低、运行可靠等特色。对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气选手们都站在同一个起跑线上,体现了公平公正的原则。关键字:抢答电路定时电路报警电路 1设计内容与要求 设计内容 设计一个抢答器,可供位选手抢答,主持人可通过开关复位控制,具有抢答、 设计要求 设计一个抢答器,可以同时供8名选手或8个队伍参加比赛,他们的编号分别是 1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应, 也是给节目主持人设置一个控制开关9,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按钮,编号立即锁 存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外要封存 输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。 抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定,档节目主持人 按下“9”按钮后,同时扬声器发出短暂声, 抢答有效,定时器停止工作,显示器上显示选手的编号,并保持到主持人将系统清零为止。

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

多路抢答器课程设计报告详解

课程设计说明书 题目:多路抢答器设计 二级学院机械工程学院 年级专业14级机械设计制造及其自动化学号1401210012 学生姓名曾骏 指导教师洪云 教师职称讲师

目录 摘要 (1) 一、绪论 (1) 1、单片机抢答器的背景 (1) 2、单片机的应用 (2) 3、抢答器的应用 (3) 二、方案设计 (4) 1、总方案设计 (4) 2、基本功能 (4) 3、扩展功能 (5) 三、硬件电路设计 (6) 1、单片机的选择 (6) 2、各模块设计 (7) 2.1、单片机最小系统 (7) 2.2、抢答按键电路 (8) 2.3、显示器电路 (8) 2.4、蜂鸣器音频输出电路 (9) 四、软件设计 (10) 1、程序设计 (10) 2、主程序设计 (11) 五、心得体会 (12) 附录 1.程序清单 (13) 2.硬件图 (23) 六、参考文献 (24)

摘要 此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 本次设计系统实用性强、判断精确、操作简单、扩展功能强等。它的功能实现是比赛开始,主持人读完题之后按下总开关,则计时开始,此时数码管开始进行1s的减计时,直到有一个选手按下抢答按钮,这时对应的数码管上会显示出该选手的编号和抢答所用的时间,同时该选手的报警器也会发出声音,来提示有人抢答本题。如果在规定的30s时间内没有选手做出抢答,则此题作废,即开始重新一轮的抢答。 关键词:单片机、抢答器、数码管、报警器 一、绪论 1、单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。单片机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人脑的作用,要是它出了毛病,那么整个装置就将瘫痪。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词--“智能型”。如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

相关文档