文档视界 最新最全的文档下载
当前位置:文档视界 › 基于simulink仿真实现的SK数字带通传输系统设计报告

基于simulink仿真实现的SK数字带通传输系统设计报告

基于simulink仿真实现的SK数字带通传输系统设计报告
基于simulink仿真实现的SK数字带通传输系统设计报告

Matlab通信系统仿真实验报告

Matlab通信原理仿真 学号: 2142402 姓名:圣斌

实验一Matlab 基本语法与信号系统分析 一、实验目的: 1、掌握MATLAB的基本绘图方法; 2、实现绘制复指数信号的时域波形。 二、实验设备与软件环境: 1、实验设备:计算机 2、软件环境:MATLAB R2009a 三、实验内容: 1、MATLAB为用户提供了结果可视化功能,只要在命令行窗口输入相应的命令,结果就会用图形直接表示出来。 MATLAB程序如下: x = -pi::pi; y1 = sin(x); y2 = cos(x); %准备绘图数据 figure(1); %打开图形窗口 subplot(2,1,1); %确定第一幅图绘图窗口 plot(x,y1); %以x,y1绘图 title('plot(x,y1)'); %为第一幅图取名为’plot(x,y1)’ grid on; %为第一幅图绘制网格线 subplot(2,1,2) %确定第二幅图绘图窗口 plot(x,y2); %以x,y2绘图 xlabel('time'),ylabel('y') %第二幅图横坐标为’time’,纵坐标为’y’运行结果如下图: 2、上例中的图形使用的是默认的颜色和线型,MATLAB中提供了多种颜色和线型,并且可以绘制出脉冲图、误差条形图等多种形式图: MATLAB程序如下: x=-pi:.1:pi; y1=sin (x); y2=cos (x); figure (1); %subplot (2,1,1); plot (x,y1); title ('plot (x,y1)'); grid on %subplot (2,1,2); plot (x,y2);

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

自动控制原理MATLAB仿真实验报告

实验一 MATLAB 及仿真实验(控制系统的时域分析) 一、实验目的 学习利用MATLAB 进行控制系统时域分析,包括典型响应、判断系统稳定性和分析系统的动态特性; 二、预习要点 1、 系统的典型响应有哪些? 2、 如何判断系统稳定性? 3、 系统的动态性能指标有哪些? 三、实验方法 (一) 四种典型响应 1、 阶跃响应: 阶跃响应常用格式: 1、)(sys step ;其中sys 可以为连续系统,也可为离散系统。 2、),(Tn sys step ;表示时间范围0---Tn 。 3、),(T sys step ;表示时间范围向量T 指定。 4、),(T sys step Y =;可详细了解某段时间的输入、输出情况。 2、 脉冲响应: 脉冲函数在数学上的精确定义:0 ,0)(1)(0 ?==?∞ t x f dx x f 其拉氏变换为:) ()()()(1)(s G s f s G s Y s f === 所以脉冲响应即为传函的反拉氏变换。 脉冲响应函数常用格式: ① )(sys impulse ; ② ); ,();,(T sys impulse Tn sys impulse ③ ),(T sys impulse Y = (二) 分析系统稳定性 有以下三种方法: 1、 利用pzmap 绘制连续系统的零极点图; 2、 利用tf2zp 求出系统零极点; 3、 利用roots 求分母多项式的根来确定系统的极点 (三) 系统的动态特性分析 Matlab 提供了求取连续系统的单位阶跃响应函数step 、单位脉冲响应函数impulse 、零输入响应函数initial 以及任意输入下的仿真函数lsim.

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

MATLAB Simulink系统建模与仿真 实验报告

MATLAB/Simulink 电力系统建模与仿真 实验报告 姓名:****** 专业:电气工程及其自动化 班级:******************* 学号:*******************

实验一无穷大功率电源供电系统三相短路仿真 1.1 无穷大功率电源供电系统仿真模型构建 运行MATLAB软件,点击Simulink模型构建,根据电路原理图,添加下列模块: (1)无穷大功率电源模块(Three-phase source) (2)三相并联RLC负荷模块(Three-Phase Parallel RLC Load) (3)三相串联RLC支路模块(Three-Phase Series RLC Branch) (4)三相双绕组变压器模块(Three-Phase Transformer (Two Windings)) (5)三相电压电流测量模块(Three-Phase V-I Measurement) (6)三相故障设置模块(Three-Phase Fault) (7)示波器模块(Scope) (8)电力系统图形用户界面(Powergui) 按电路原理图连接线路得到仿真图如下: 1.2 无穷大功率电源供电系统仿真参数设置 1.2.1 电源模块 设置三相电压110kV,相角0°,频率50Hz,接线方式为中性点接地的Y形接法,电源电阻0.00529Ω,电源电感0.000140H,参数设置如下图:

1.2.2 变压器模块 变压器模块参数采用标幺值设置,功率20MVA,频率50Hz,一次测采用Y型连接,一次测电压110kV,二次侧采用Y型连接,二次侧电压11kV,经过标幺值折算后的绕组电阻为0.0033,绕组漏感为0.052,励磁电阻为909.09,励磁电感为106.3,参数设置如下图: 1.2.3 输电线路模块 根据给定参数计算输电线路参数为:电阻8.5Ω,电感0.064L,参数设置如下图: 1.2.4 三相电压电流测量模块 此模块将在变压器低压侧测量得到的电压、电流信号转变成Simulink信号,相当于电压、电流互感器的作用,勾选“使用标签(Use a label)”以便于示波器观察波形,设置电压标签“Vabc”,电流标签“Iabc”,参数设置如下图:

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

simulink仿真实验报告

电机与拖动控制实验及其MATLAB仿真: 《电机与拖动控制实验及其MATLAB仿真》是2014年11月18日清华大学出版社出版的图书,作者是曹永娟。 内容简介: 本书分上、下两篇。上篇为电机与拖动控制实验教程,针对MCL 系列电机实验教学系统进行介绍,包括变压器、同步电机、异步电机、直流电机以及直流调速系统、交流调速系统拖动控制实验内容。 目录: 上篇电机与拖动控制实验 第1章电机实验装置和基本要求 1.1MCLⅡ型电机教学实验台 1.2实验装置和挂件箱的使用 1.2.1MCLⅡ型电机实验装置交流及直流电源操作说明 1.2.2仪表的使用 1.2.3挂件箱的使用 1.2.4交直流电机的使用 1.2.5导轨、测速发电机及转速计的使用 第2章电机与拖动控制实验基本要求和安全操作规程 2.1实验基本要求 2.2实验前的准备 2.3实验的进行 2.4实验报告

2.5实验安全操作规程 第3章变压器实验 3.1单相变压器 3.1.1实验目的 3.1.2预习要点 3.1.3实验项目 3.1.4实验设备及仪器 3.1.5实验方法 3.1.6实验报告 3.2三相变压器 3.2.1实验目的 3.2.2预习要点 3.2.3实验项目 3.2.4实验设备及仪器 3.2.5实验方法 3.2.6实验报告 3.3三相变压器的连接组和不对称短路3.3.1实验目的 3.3.2预习要点 3.3.3实验项目 3.3.4实验设备及仪器 3.3.5实验方法

3.3.6实验报告 3.3.7附录 3.4三相变压器的并联运行3. 4.1实验目的 3.4.2预习要点 3.4.3实验项目 3.4.4实验设备及仪器 3.4.5实验方法 3.4.6实验报告 第4章同步电机实验 4.1三相同步发电机的运行特性4.1.1实验目的 4.1.2预习要点 4.1.3实验项目 4.1.4实验设备及仪器 4.1.5实验方法 4.1.6实验报告 4.1.7思考题 4.2三相同步发电机的并联运行4.2.1实验目的 4.2.2预习要点 4.2.3实验项目

通信原理第六版(樊昌信)第7章 数字带通传输系统

通信原理 第7章数字带通传输系统 ● 概述 ? 数字调制:把数字基带信号变换为数字带通信号(已调信号)的过程。 ? 数字带通传输系统:通常把包括调制和解调过程的数字传输系统。 ? 数字调制技术有两种方法: ◆ 利用模拟调制的方法去实现数字式调制; ◆ 通过开关键控载波,通常称为键控法。 ◆ 基本键控方式:振幅键控、频移键控、相移键控 ? 数字调制可分为二进制调制和多进制调制。 ● 7.1 二进制数字调制原理 ? 7.1.1 二进制振幅键控(2ASK ) ◆ 基本原理: ? “ 通- 断键控(OOK)” 信号表达式 ? 波形 振幅键控 频移键控 相移键控 ?? ?-=”时 发送“以概率, ”时发送“以概率0P 101P t, Acos )(c OOK ωt e 1 1 () s t 载波 2ASK

◆ 2ASK 信号的一般表达式 其中 T s - 码元持续时间; g (t ) - 持续时间为T s 的基带脉冲波形,通常假设是高 度为1 ,宽度等于T s 的矩形脉冲; a n - 第N 个符号的电平取值,若取 则相应的2ASK 信号就是OOK 信号。 ◆ 2ASK 信号产生方法 ? 模拟调制法(相乘器法) ? 键控法 ◆ 2ASK 信号解调方法 ? ? 相干解调( 同步检测法) ()t t s t e c ωcos )(2ASK =∑-=n s n nT t g a t s ) ()(? ? ?-=P P a n 1,0,1概率为概率为) ) 开关电路 2e 2e

? 非相干解调过程的时间波形 ◆ 功率谱密度 2ASK 信号可以表示成 式中 s (t ) -二进制单极性随机矩形脉冲序列 设:P s (f ) - s (t ) 的功率谱密度 P 2ASK (f ) - 2ASK 信号的功率谱密度 则由上式可得 由上式可见,2ASK 信号的功率谱是基带信号功率谱P s (f ) 的线性搬移(属线性调制)。 知道了P s (f ) 即可确定P 2ASK (f ) 。 由6.1.2节知,单极性的随机脉冲序列功率谱的一般表达式为 式中 f s = 1/T s G (f ) - 单个基带信号码元g (t )的频谱函数。 对于全占空矩形脉冲序列,根据矩形波形g (t )的频谱特点,对于所有的m 0的整数,有 ,故上式可简化为 将其代入 1 01 0t t 11 00a b c d t t ()t t s t e c ωcos )(2ASK =[])()(4 1 )(2ASK c s c s f f P f f P f P -++= ∑ ∞ -∞ =--+ -=m s s s s s mf f mf G P f f G P P f f P ) ()()1()()1()(2 2 δ0)()(==πn Sa T mf G S S ()) ()0()1()()1(2 222 f G P f f G P P f f P s s s δ-+-=[] )()(4 1 )(2ASK c s c s f f P f f P f P -++=

MATLAB仿真实验报告

MATLA仿真实验报告 学院:计算机与信息学院 课程:—随机信号分析 姓名: 学号: 班级: 指导老师: 实验一

题目:编写一个产生均值为1,方差为4的高斯随机分布函数程序, 求最大值,最小值,均值和方差,并于理论值比较。 解:具体的文件如下,相应的绘图结果如下图所示 G仁random( 'Normal' ,0,4,1,1024); y=max(G1) x=mi n(G1) m=mea n(G1) d=var(G1) plot(G1);

实验二 题目:编写一个产生协方差函数为CC)=4e":的平稳高斯过程的程序,产生样本函数。估计所产生样本的时间自相关函数和功率谱密度,并求统计自相关函数和功率谱密度,最后将结果与理论值比较。 解:具体的文件如下,相应的绘图结果如下图所示。 N=10000; Ts=0.001; sigma=2; beta=2; a=exp(-beta*Ts); b=sigma*sqrt(1-a*a); w=normrnd(0,1,[1,N]); x=zeros(1,N); x(1)=sigma*w(1); for i=2:N x(i)=a*x(i-1)+b*w(i); end %polt(x); Rxx=xcorr(x0)/N; m=[-N+1:N-1]; Rxx0=(sigma A2)*exp(-beta*abs(m*Ts)); y=filter(b,a,x) plot(m*Ts,RxxO, 'b.' ,m*Ts,Rxx, 'r');

periodogram(y,[],N,1/Ts); 文件旧硯化)插入(1〕 ZMCD 克闻〔D ]窗口曲) Frequency (Hz) 50 100 150 200 250 300 350 400 450 500 NH---.HP)&UO 二 balj/ 」- □歹

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

第七章 数字带通传输系统总结

第七章 总结 1.时域波形及表达式(会画时域波形、调制规则的确立) 2ASK 、2FSK 、2PSK (倒π现象) 2.调制与解调方法(会画调制解调模型) 2ASK :键控法、模拟幅度调制方法;相干解调、非相干解调(包络检波),门限效应问题 2FSK :键控法、模拟频率调制方法;过零检测法、分路相干或非相干解调 2PSK :键控法、模拟方法、码变换+ PSK 调制产生2DPSK ;极性比较法(相干解调)、差分相干解调2DPSK 3.频谱特性(频域表达式、频谱图) 2ASK :功率谱由连续谱与载波处的离散谱构成; 带宽 B A = 2B S = 2f S (Hz); 频带利用率 )/(5.021Hz B f f B T s s A s ===η2FSK :功率谱由连续谱与离散谱构成,离散谱出现在两个载频(f1、f2)位置上; 连续谱谱结构:| f1-f2 | < fs 单峰,| f2-f1 | > fs 双峰 B 2FSK = | f2-f1 | + 2fs 较宽。 频带利用率 )/(5.02121Hz B f f f f B T s s F s <+?==η2PSK :一般情况下,2PSK 的功率谱与2ASK 的功率谱相同(仅差系数)即:含连续谱与离散谱。 B 2PSK =B 2ASK =2BS 当0、1等概时(P=1/2),无离散谱。 4.抗噪声性能 数字解调性能分析过程:(各种解调模型的绘画)

1)确定合成信号y(t) 2)确定解调输出V(t) 3)确定样值的分布 4)确定判决准则(与调制规则相对应) 5)误码分析,确定最佳门限,求出输出误码率 解调方式 误码性能 相干OOK ????????421r erfc 非相干OOK 421r e ? 相干2FSK ????????221r erfc 非相干2FSK 221r e ? 相干2PSK ()r erfc 21 相干2DPSK erfc 差分相干2DPSK r e ?21

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

实验报告五SIMULINK仿真实验

实验五SIMULINK仿真实验 一、实验目的 考察连续时间系统的采样控制中,零阶保持器的作用与采样时间间隔对Ts 对系统稳定性的影响 二、实验步骤 开机执行程序,用鼠标双击图标,进入MA TLAB命令窗口:Command Windows在Command Windows窗口中输入:simulink,进入仿真界面,并新建Model文件在Model界面中构造连续时间系统的结构图。作时域仿真并确定系统时域性能指标。 图(6-1) 带零阶保持器的采样控制系统如下图所示。作时域仿真,调整采样间隔时间Ts,观察对系统稳定性的影响。 图(6-2) 参考输入量(给定值)作用时,系统连接如图(6-1)所示: 图(6-3) 三、实验要求 (1)按照结构图程序设计好模型图,完成时域仿真的结构图 (2)认真做好时域仿真记录 (3)参考实验图,建立所示如图(6-1)、图(6-2)、图(6-3)的实验原理图; (4)将鼠标移到原理图中的PID模块进行双击,出现参数设定对话框,将PID 控制器的积分增益和微分增益改为0,使其具有比例调节功能,对系统进行纯比例控制。

1. 单击工具栏中的图标,开始仿真,观测系统的响应曲线,分析系统性 能;调整比例增益,观察响应曲线的变化,分析系统性能的变化。 2. 重复步骤2-3,将控制器的功能改为比例微分控制,观测系统的响应曲线, 分析比例微分控制的作用。 3. 重复步骤2-3,将控制器的功能改为比例积分控制,观测系统的响应曲线, 分析比例积分控制的作用。 4. 重复步骤2-3,将控制器的功能改为比例积分微分控制,观测系统的响应曲 线,分析比例积分微分控制的作用。 5. 参照实验一的步骤,绘出如图(6-2)所示的方块图; 6. 将PID控制器的积分增益和微分增益改为0,对系统进行纯比例控制。不断 修改比例增益,使系统输出的过渡过程曲线的衰减比n=4,记下此时的比例增益值。 7. 修改比例增益,使系统输出的过渡过程曲线的衰减比n=2,记下此时的比例 增益值。 8. 修改比例增益,使系统输出呈临界振荡波形,记下此时的比例增益值。 9. 将PID控制器的比例、积分增益进行修改,对系统进行比例积分控制。不断 修改比例、积分增益,使系统输出的过渡过程曲线的衰减比n=2,4,10,记下此时比例和积分增益。 10、将PID控制器的比例, 积分, 微分增益进行修改,对系统进行比例、积分、 微分控制。不断修改比例、积分、微分增益,使系统输出的过渡过程曲线的衰减比n=2、4、10记下此时的比例、积分、微分增益值。 四、实验报告要求 (1)叙述零阶保持器的作用 (2)讨论采样时间间隔Ts对系统的影响。 (3)写出完整实验报告 附:step模块在sources库中 sum模块在math operations库中 scope模块在sinks库中 transfer fcn模块在continuous库中 zero-order hold模块在discrete库中

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

Simulink实验报告

实验一:AM 信号的调制与解调 实验目的:1.了解模拟通信系统的仿真原理。 2.AM 信号是如何进行调制与解调的。 实验原理: 1.调制原理:AM 调制是用调制信号去控制高频正弦载波的幅度,使其按调制信号的规律变化的过程,就是按原始电信号的变化规律去改变载波某些参量的过程。 + m(t) S AM (t)A 0 cos ωc t AM 信号的时域和频域的表达式分别为: ()()[]()()()()t t m t A t t m A t S C C C AM ωωωcos cos cos 00+=+= 式(4-1) ()()()[]()()[]C C C C AM M M A S ωωωωωωδωωδπω-+++ -++=2 1 0 式(4-2) 在式中,为外加的直流分量;可以是确知信号也可以是 随机信号,但通常认为其平均值为0,即。其频谱是DSB SC-AM 信号的频谱加上离散大载波的频谱。 2.解调原理:AM 信号的解调是把接收到的已调信号还 原为调制信号。 AM 信号的解调方法有两种:相干解调和包 络检波解调。 AM 相干解调原理框图如图。相干解调(同步解调):利用

相干载波(频率和相位都与原载波相同的恢复载波)进行的解调,相干解调的关键在于必须产生一个与调制器同频同相位的载波。如果同频同相位的条件得不到满足,则会破坏原始信号的恢复。相干载波的提取:(1)导频法:在发送端加上一离散的载频分量,即导频,在接收端用窄带滤波器提取出来作为相干载波,导频的功率要求比调制信号的功率小;(2)不需导频的方法:平方环法、COSTAS环法。 LPF m0(t) S AM(t) cosωc t AM信号波形的包络与输入基带信号成正比,故可以用包络检波的方法恢复原始调制信号。包络检波器一般由半波或全波整流器和低通滤波器组成: (1)整流:只保留信号中幅度大于0的部分。(2)低通滤波器:过滤出基带信号;(3)隔直流电容:过滤掉直流分量。实验内容: 1.AM相干解调框图。

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

答案 第七章 数字带通传输系统

第七章 数字带通传输系统 1、常用的数字键控方式用哪些? ASK (幅度键控):用基带数字信号对高频载波信号的幅度进行控制的方式。 FSK (移频键控):用基带数字信号对载波信号的频率进行控制的方式 2PSK (绝对移相键控):用基带数字信号对载波的相位进行控制方式 2DPSK (相对移相键控):2DPSK 信号的产生方法和绝对移相一样,只需将输入码序列先变换为相对码序列,然后用此相对码去进行绝对移相,便可以获得 2DPSK 信号。 2、已知码元传输速率R B =103 Bd ,接收机输入噪声的双边功率谱密度n 0/2=10-10 W/Hz ,今要求误码率P e =10-5,试分别计算出相干OOK 、非相干2FSK 、差分相干2DPSK 以及2PSK 等系统所要求的输入信号功率。 思路 只要求出接收机带通滤波器输出噪声功率就可以由误码率公式得到P e =10-5的信噪比,从而得出信号功率。题中已给出噪声的功率谱密度,但没有给定收滤波器带宽。由于OOK(即2ASK)系统、2DPSK 系统、2PSK 系统都是线性系统,它们的频带利用率为1/(1+α)(Bd/Hz)。若收滤波器为升余弦滚降特性,其等效噪声带宽为1000 Hz ,可用此等效带宽求噪声功率。设α=1,且收滤波器的频率特性是带宽为2000 Hz 的理想矩形,我们以此为标准进行计算。非相干2FSK 解调器由两个非相干2ASK 解调器构成,两个收滤波器的带宽与线性系统一样。 解: 设OOK 、差分相干2DPSK 以及2PSK 的收滤波器的频率特性是带宽为2000 Hz 的理想矩形,非相干2FSK 接收机的两个支路带通滤波器的频率特性也是带宽为2000 Hz 的理想矩形。在此条件下,接收机输入噪声功率为 N=(10-10×2×2000) W=4×10-7 W (1) 相干OOK(2ASK)系统 由误码率公式 P e =??? ? ??2r Q =10-5 得 r= N S =36.13 S=(36.13×4×10-7) W=1.45×10-5 W (2) 非相干2FSK 系统 由误码率公式 P e =2 1 5210-=r e 得 r=21.6 S=(21.6×4×10-7) W=0.86×10-5 W (3) 差分相干2DPSK 系统 由误码率公式 P e =5102 1 --=r e

基于FPGA的现代数字系统设计实验报告

****大学 实验报告 课程名称:基于FPGA的现代数字系统设计 实验名称:基于HDL十进制计数显示系统设置 姓名: 学号: 班级: 指导教师: ****大学信息工程学院制

基于HDL十进制计数显示系统设置 一、实验要求 1.设计具有异步复位,同步使能的十进制计数器,其计数结果通过七段数码管,发光二极管等进行显示。 2.主要设计的模块有十进制计数模块和数码管驱动模块以及消抖模块。 3.需要将按键输入的时钟,然后通过消抖模块消抖后,再输出至后续使用。 4.共阴极数码管驱动。 二、实验原理 本次的设计是一个具有数显输出的十进制计数器。示意图如2.1所示。 图2.1 七段数码管属于数码管的一种,是由7段二极管组成。按发光二极管单元衔接方式分为共阳极数码管和共阴极数码管。本实验使用共阴数码管。它是指将一切发光二极管的阴极接到一同构成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平相应字段就点亮,当某一字段的阳极为低电平相应字段就不亮。 显示译码器,用HDL语言判断CLK的上升沿信号,每次收到一次上升沿信号,计数器的值加一并显示在数码管上,所以本次实验会将十进制计数与七段数码管的显示分别写在两个模块里面。 系统模块划分及引脚连线图如下:

三、实验步骤(设计输入) 1.十进制计数器模块 module cnt10(clk,clr,ena,sum,cout); input clk,clr,ena; output [3:0] sum; output cout; reg [3:0] sum; reg cout; always @ (posedge clk or posedge clr) if(clr==1) begin sum <= 4'b0000; cout<= 1'b0;end else if(ena==1'b1) if(sum==4'b1001) begin sum <= 4'b0000; cout<= 1'b1; end else begin sum <= sum+1; cout<= 1'b0; end else begin sum <= sum; cout<= cout; end Endmodule 程序主要思路是用always等待clk与clr的上升沿信号,因为是异步清零所以在always 中也要等待clr的清零信号。用if语句判断clr信号,为1则清零。判断ena信号,为1进行计数操作,为0输出保持不变。计数中,判断sum的值如果为9时,进行进位操作,并将sum值赋值为0 2.数码管驱动模块 module led7 (sum ,out ); input [3:0] sum; output [6:0] out; reg [6:0] out ; always @(sum) begin out = {7{1'b0}};

相关文档
相关文档 最新文档