文档视界 最新最全的文档下载
当前位置:文档视界 › 16乘16点阵显示实验报告剖析

16乘16点阵显示实验报告剖析

16乘16点阵显示实验报告剖析
16乘16点阵显示实验报告剖析

实验报告

实验名称: [16×16点阵显示实验] 姓名: []

学号: [201]

指导教师: [解*]

实验时间: [2013年4月25日]

信息与通信工程学院

16×16点阵显示实验

1实验要求

任务1:将所给程序改正使结果为正显示;

任务2:使显示四个字、八个字。

2实验原理

2.1 LED显示器结构和原理

1>8*8LED点阵的结构

图1 8*8LED点阵结构图

从图1中可以看出,8*8LED点阵共由64个发光二极管组成,每个发光二极管是放置在行线和列线的交叉点上,当对应的某一行置1高电平,且某一列置0低电平,则相应的发光二极管就亮;因此要用8*8LED点阵来显示一个字符或汉字,只需要根据字符或汉字图形中的线条或笔画,通过点亮多个发光二极管来勾勒出字符或汉字的线条或笔画就行了。当要比较完美的显示一般的汉字,单个8*8LED点阵模块很难做到,因为LED的点数(也称为像素点)不够多,因此要显示汉字的话,需要多个8*8LED点阵拼合成一个显示屏。假如用4个8*8LED点阵模块拼成16*16的点阵,即能满足一般汉字的显示。但要显示信息量大的图形,则需要n个多个8*8LED点阵,拼装成一个大屏幕才行。

LED点阵显示器最大的特点是亮度高、功耗较低、寿命长、容易控制等,因此它的应用很广,常用在广场、车站、商业广告等室外的显示。

2>8*8LED点阵的封装和引脚规律

64个发光二极管按照行共阳、列共阴4个一组的方式封装成一个模块,这样8*8LED 点阵模块就有8行、8列共16个引脚。其实物图如图2,电路模块符号图如图3。

图2 8*8LED点阵实物图图3 8*8LED点阵符号图但8*8LED点阵的16个引脚并不是很有规律,千万不要想象成1~8个引脚是行,9~16个引脚是列。而且不同产品的点阵外部引脚排列规律还可能不一样。以下是NLB1388SRA 和LDM1388SRA两个型号点阵引脚对应行、列的关系表:

行号H0 H1 H2 H3 H4 H5 H6 H7

引脚号9 14 8 12 1 7 2 5

列号L0 L1 L2 L3 L4 L5 L6 L7

引脚号13 3 4 10 6 11 15 16 假如你买到一块新的8*8LED点阵,又没有关于它的相关资料,那你只有自己用万用表或通过VCC电源串接一个510欧姆的电阻来检测了。

2.2 LPM_ROM的应用

该模块为逻辑宏模块存储器。其应用过程如下。

1选择模块

2点击ok后,得到

3属性编辑:可以根据实际需要选择数据宽度和内存的容量,默认是8bit,32个字空间。

修改空间和数据属性,Cyclone系列支持最大存储深度4k。

该界面可以选择输出引脚的属性,

需要把hex文件或者mif

其中mif文件或者hex文件可以由多种形式生成。或由多种工具获得,如Quartus 的Text File编辑器、Matlab 等。

完成设置:

放置该模块:

按照基本操作步骤添加引脚,并进行编译,排除错误。注意总线形式的引脚设置方法。

双击引脚标识,修改对话框中的内容,获得总线连接方式。

3 实验结果

通过对程序及原理图的修改,逐步完成了老师的要求,最后使显示器显示“面朝大海,春暖花开。”

4 实验心得

这次实验比之前更加深入一点,通过这次实验,我了解了16*16点阵的基本工作原理,学习了用Verilog HDL语言编写点阵扫描的程序。虽然在实验中遇到了一些问题,尤其是四个字变八个字的过程,只因为一个小小的接线问题,始终看不到“春暖花开”。但是自己看着问题一步一步的解决,知识一点一点的积累,确实很有成就感,这又增加了我对FPGA学习的热情。虽然还不能完全读懂本实验上的所有程序,但是能够通过修改部分程序来完成老师要求的任务,也是有意义的。

附录:实验程序清单

module dianzhen (clk50mhz,row,sel0,sel1,sel2,sel3,line);

input clk50mhz; //实验箱提供50MHz时钟信号

output sel0,sel1,sel2,sel3; //设置引脚选通点阵

output reg [15:0] row; //列

output reg [3:0] line; //行

wire [15:0] row1,row2;

wire [3:0] line1,line2;

reg [24:0] cnt=0; //1Hz计数子

reg [5:0] cnt1=0; //16s计数子

assign sel0=1'b0;

assign sel1=1'b1;

assign sel2=1'b0;

assign sel3=1'b0;

always@(posedge clk50mhz)

begin

if(cnt>=25'd5*******)

begin

cnt<=25'b0; //1Hz计时器

cnt1<=cnt1+1; //16s计时器

end

else

cnt<=cnt+1;

end

hangsaomiao

u1(.clk50mhz(clk50mhz),.row(row1),.line(line1)); liesaomiao

u2(.clk50mhz(clk50mhz),.row(row2),.line(line2)); always@(*)

if(cnt1<=5'd31)

begin

row<=row1; //行扫描

line<=line1;

end

else

begin

row<=row2; //列扫描

line<=line2;

end

Endmodule

行扫描

module hangsaomiao(clk50mhz,line,row);

input clk50mhz; //实验箱输入50MHz时钟信号

output reg [15:0] row; //列

output reg [3:0] line; //行

reg [24:0] cnt1,cnt2; //计数子

reg clkrow,clkline; //列脉冲、行脉冲

always@(posedge clk50mhz)

begin

if(cnt1>=25'd5*******)

begin

cnt1<=25'b0;

clkrow=~clkrow; //1s列脉冲

end

else

cnt1<=cnt1+1;

end

always@(posedge clk50mhz)

begin

if(cnt2>=25'd500)

begin

cnt2<=25'b0;

clkline=~clkline;

//100KHz行脉冲

end

else

cnt2<=cnt2+1;

end

always@(posedge clkline)

begin

case(line)

4'd0:line<=4'd1; //

高速行扫描

4'd1:line<=4'd2;

4'd2:line<=4'd3;

4'd3:line<=4'd4;

4'd4:line<=4'd5;

4'd5:line<=4'd6;

4'd6:line<=4'd7;

4'd7:line<=4'd8;

4'd8:line<=4'd9;

4'd9:line<=4'd10;

4'd10:line<=4'd11;

4'd11:line<=4'd12;

4'd12:line<=4'd13;

4'd13:line<=4'd14;

4'd14:line<=4'd15;

4'd15:line<=4'd0;

default:line<=4'd0;

endcase

end

always@(posedge clkrow) //时间间隔为1s的列扫描

begin

case(row)

16'b0000000000000001:

row<=16'b0000000000000010;

16'b0000000000000010:

row<=16'b0000000000000100;

16'b0000000000000100:

row<=16'b0000000000001000;

16'b0000000000001000:

row<=16'b0000000000010000;

16'b0000000000010000:

row<=16'b0000000000100000;

16'b0000000000100000:

row<=16'b0000000001000000;

16'b0000000001000000:

row<=16'b0000000010000000;

16'b0000000010000000:

row<=16'b0000000100000000;

16'b0000000100000000:

row<=16'b0000001000000000;

16'b0000001000000000:

row<=16'b0000010000000000;

16'b0000010000000000:

row<=16'b0000100000000000;

16'b0000100000000000: row<=16'b0001000000000000;

16'b0001000000000000:

row<=16'b0010000000000000;

16'b0010000000000000:

row<=16'b0100000000000000;

16'b0100000000000000:

row<=16'b1000000000000000;

16'b1000000000000000:

row<=16'b0000000000000001;

default :

row<=16'b0000000000000001;

endcase

end

endmodule

列扫描

module liesaomiao(clk50mhz,row,line);

input clk50mhz; //实验箱输入50MHz时钟信号

output reg [15:0] row; //行

output reg [3:0] line; //列

reg [24:0] cnt; //计数子

reg clk;

always@(posedge clk50mhz)

begin

if(cnt>=25'd5*******)

begin

cnt<=25'b0;

clk=~clk; //1s

end

else

cnt<=cnt+1;

end

always @ (posedge clk) //列扫描

begin

case(line)

4'h0:begin

row=16'b1111111111111111;line<=4'h1;end

4'h1:begin

row=16'b1111111111111111;line<=4'h2;end

4'h2:begin

row=16'b1111111111111111;line<=4'h3;end

4'h3:begin

row=16'b1111111111111111;line<=4'h4;end 4'h4:begin

row=16'b1111111111111111;line<=4'h5;end 4'h5:begin

row=16'b1111111111111111;line<=4'h6;end 4'h6:begin

row=16'b1111111111111111;line<=4'h7;end 4'h7:begin

row=16'b1111111111111111;line<=4'h8;end 4'h8:begin

row=16'b1111111111111111;line<=4'h9;end 4'h9:begin

row=16'b1111111111111111;line<=4'ha;end 4'ha:begin

row=16'b1111111111111111;line<=4'hb;end 4'hb:begin

row=16'b1111111111111111;line<=4'hc;end 4'hc:begin

row=16'b1111111111111111;line<=4'hd;end 4'hd:begin

row=16'b1111111111111111;line<=4'he;end 4'he:begin

row=16'b1111111111111111;line<=4'hf;end 4'hf:begin

row=16'b1111111111111111;line<=4'h0;end

default:line<=4'h0;

endcase

end

endmodule

微机接口实验-16x16点阵显示

实验04·LED显示器 王梦硕 0930******* 实验目的: 在理解LED点阵工作原理的基础上,实践使用点阵显示字符。 实验原理: 1·点阵式显示器: 发光二级管排列成矩阵,由亮与暗来产生字符或图形。 每一样的阳极连在一起,每一列的阴极连在一起,如右图所 示。 点阵显示器每一列的阴极连在一起,对每一列而言相当 于一个共阴显示器。同时每一行的阳极连在一起,相当于七 段显示器的比划。可采用动态显示电路,以笔画锁存器控制 行信号,以位锁存器控制列信号。 2·74HC595 实验中使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16x16 LED点阵显示器的行线,使用另外两片8位74HC595作为16x16 LED点阵显示器的列线LD_1~LD_16。当行输出高电平、列输出低电平时,可以点亮点阵。74HC595的工作时序图和推荐的连接方法如下: 下图中: ?LD-QA~LD-QP:点阵行控制信号 ?LD-1~LD-16:点阵列控制信号 ?SER(14脚):串行数据输入端 ?-SCLR(10脚):低电平时将移位寄存器的 数据清零。通常将它接Vcc。 ?SCK(11脚):上升沿时将串行数据移入移 位寄存器。 ?RCK(12脚):上升沿时移位寄存器的数据 锁存入数据寄存器。 ?-G(13脚): 高电平时禁止输出(高阻态)。

实验内容: 在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。 两个实验部分的电原理图是相同的,如下所示:

1·静态方式: 流程图: 程序代码: L_DAT_H BIT P1.0 L_DAT_L BIT P1.1 L_STR BIT P1.2 L_CLK BIT P1.3 L_OE BIT P1.4 ROWH EQU 40H ;字模信号(顺向取膜,高位在前)ROWL EQU 41H SELH EQU 42H ;行扫描信号

基于FPGA的LED16×16点阵汉字显示设计(VHDL版)

毕业设计(论文)开题报告 设计(论文)题目基于FPGA的LED 16×16点阵汉字显示设计 一、选题的背景和意义: LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。受到体育场馆用LED显示屏需求快速增长的带动,近年来,中国LED 显示屏应用逐步增多。目前,LED已经广泛应用在银行、火车站、广告、体育场馆之中。而随着奥运会、世博会的临近,LED显示屏将广泛的应用在体育场馆以及道路交通指示中,LED显示屏在体育广场中的应用将出现快速增长。 因此,本设计是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL 语言编写主程序。本设计可以方便的应用到各类广告宣传中。 二、课题研究的主要内容: 1. 实现16×16点阵的汉字显示; 2. 实现有限汉字显示; 4. 实现汉字的滚动显示; 5. 完成方案论证。 三、主要研究(设计)方法论述: 通过去图书馆查阅书籍收集资料,同时在搜索引擎上检索资料,分析借鉴已有类似产品、设计方案与成功经验,选择几种可行方案比对,最后确定最切实可行的方案展开设计。 通过Multisim或Quartus软件对系统进行模拟仿真,对电路功能进行改进与完善。 在EDA试验箱上进行调试。 四、设计(论文)进度安排:

时间(迄止日期)工作内容 2010.5.17-5.23 理解并确认毕业设计任务书,撰写完成毕业设计开题报告(第1周) 2010.5.24-5.30 完成调研与资料收集、整理 (第2周) 2010.5.31-6.6 设计方案及原理框图确定 (第3周) 2010.6.7-7.4 电路资料收集,单元电路设计 (第4、5、6、7周) 2010.7.5-7.18 电路仿真与改进、完善 (第8、9周) 2010.19-8.1 资料整理 (第10、11周) 2010..8.2-8.8 书写毕业设计报告 (第12周) 2010.8.9-8.16 (第13周)修改毕业设计报告并整理装订 五、指导教师意见: 指导教师签名:年月日六、系部意见: 系主任签名:年月日 目录

多谐振荡器

测控电路实验报告 班级:07050341 学号: 姓名:

多谐振荡器 一、实验内容 1.用555芯片设计一个频率为50HZ的多谐振荡器占空比为2/3。画出设计的电路,并用Multisim 7进行软件仿真,分析仿真结果。(在0.01uF,1uF;确定R1,R2的值) 2.用555芯片设计的在实验仪上安装好电路,检查实验电路接线无误之后接通电,用示波器测量出波形,标出幅度等。 3.总结实验收获。 二、实验目的 1.了解555定时器的结构和工作原理。 2.掌握用555定时器组成多谐振荡器的方法。 3.学习使用示波器测量脉冲幅度、周期和宽度的方法。 三、实验装置: 示波器SS5702 万用表直流稳压电源实验板 四、实验原理 1、555定时器组成多谐振荡器如图1所示,通电后输出高电平,同时电源通过R1,R2向电容C充电,当电容C充电到电源电压的2/3时,内部比较电路使得输出变为低电平,电容开始C放电,当电容C放电输出到电源电压的1/3时,内部比较电路使得输出变为高电平,这样循环往复电容两端电压在电源电压的1/3与2/3处振荡,使输出产生方波。 图1 电路的振荡周期T=T1+T2=(R1+2R2)CLn 改变R1R2和C的数值可以得1Hz到3000kHz振荡频率 2、工作原理:

多谐振荡器的工作波形如图6-11(b)所 示: 电路接通电源的瞬间,由于电容C 来不及充电,Vc=0v,所以555定时器 状态为1,输出Vo为高电平。同时,集 电极输出端(7脚)对地断开,电源Vcc 对电容C充电,电路进入暂稳态I,此 后,电路周而复始地产生周期性的输出 脉冲。多谐振荡器两个暂稳态的维持时间取决于RC充、放电回路的参数。暂稳态Ⅰ的维持时间,即输出Vo的正向脉冲宽度T1≈0.7(R1+R2)C;暂稳态Ⅱ的维持时间,即输出Vo的负向脉冲宽度T2≈0.7R2C。 因此,振荡周期T=T1+T2=0.7(R1+2R2)C,振荡频率f=1/T。正向脉冲宽度 T1与振荡周期T之比称矩形波的占空比D,由上述条件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,则D≈1/2,即输出信号的正负向脉冲宽度相等的矩形波(方波)。 五、实验结果: 由R1,R2,C组成积分电路,由输入端6脚和2脚的输入值V-和V+两者切换的临界值决定,而V-与V+之间往复振荡遵循1/3VCC与2/3VCC的电压关系进行。电容的充电时间T1和放电时间T2 公式各为: T1=(R1+R2)CLn2 T2 =R2CLn2,R1=5.1K,R2=12K,波形如图所示 六、实验总结: 通过实验,熟悉了由555定时器构成多谐振荡器的工作原理及方法,对555定时器加深了了解,通过实验过程,培养了认真谨慎的精神。

16进制颜色代码对照表 -

颜色代码大全 AA 指定透明度。 00 是完全透明。 FF 是完全不透明。超出取值范围的值将被恢复为默 认值。 ffff00 ffff33 ffff66 ffff99 ffffcc ffffff ffcc00 ffcc33 ffcc66 ffcc99 ffcccc ffccff ff9900 ff9933 ff9966 ff9999 ff99cc ff99ff ff6600 ff6633 ff6666 ff6699 ff66cc ff66ff ff3300 ff3333 ff3366 ff3399 ff33cc ff33ff ff0000 ff0033 ff0066 ff0099 ff00cc ff00ff ccff00 ccff33 ccff66 ccff99 ccffcc ccffff cccc00 cccc33 cccc66 cccc99 cccccc ccccff cc9900 cc9933 cc9966 cc9999 cc99cc cc99ff cc6600 cc6633 cc6666 cc6699 cc66cc cc66ff cc3300 cc3333 cc3366 cc3399 cc33cc cc33ff cc0000 cc0033 cc0066 cc0099 cc00cc cc00ff 99ff00 99ff33 99ff66 99ff99 99ffcc 99ffff 99cc00 99cc33 99cc66 99cc99 99cccc 99ccff 999900 999933 999966 999999 9999cc 9999ff 996600 996633 996666 996699 9966cc 9966ff 993300 993333 993366 993399 9933cc 9933ff 990000 990033 990066 990099 9900cc 9900ff 66ff00 66ff33 66ff66 66ff99 66ffcc 66ffff 66cc00 66cc33 66cc66 66cc99 66cccc 66ccff 669900 669933 669966 669999 6699cc 6699ff 666600 666633 666666 666699 6666cc 6666ff 663300 6633336633666633996633cc 6633ff 660000 660033 660066 660099 6600cc 6600ff 33ff00 33ff33 33ff66 33ff99 33ffcc 33ffff 33cc00 33cc33 33cc66 33cc99 33cccc 33ccff 339900 339933 339966 339999 3399cc 3399ff 336600 336633 336666 336699 3366cc 3366ff 333300 333333 333366 3333993333cc 3333ff 330000 330033 330066 330099 3300cc 3300ff

EDA 16x16点阵显示

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级 学号 姓名 指导教师乔汇东胡瑛 2012年7月2日

湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1001班 学生姓名 学号 指导老师乔汇东胡瑛 审批乔汇东 任务书下达日期2012 年6月23日 任务完成日期2012 年7月2日

《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第二十周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附: 课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

16 16点阵LED循环显示汉字汇编语言设计

LED16X16点阵显示 课程设计报告 学院信息工程学院 专业通信工程 班级0801 学生姓名 指导老师 二0一0年十二月 一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计内容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故

使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,内有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将连续的几帧画面高速的循环显示,只要帧速率高于24帧/秒,人眼看起来就是一个完整的,相对静止的画面。最典型的例子就是电影放映机。在电子领域中,因为这种动态扫描显示方式极大的缩减了发光单元的信号线数量,因此在LED显示技术中被广泛使用。 以8×8点阵模块为例,说明一下其使用方法及控制过程。图2.1中,红色水平线Y0、Y1……Y7叫做行线,接内部发光二极管的阳极,每一行8个LED的阳极都接在本行的行线上。相邻两行线间绝缘。同样,蓝色竖直线X0、X1……X7叫做列线,接内部每列8个LED的阴极,相邻两列线间绝缘。 在这种形式的LED点阵模块中,若在某行线上施加高电平(用“1”表示),在某列线上施加低电平(用“0”表示)。则行线和列线的交叉点处的LED就会有电流流过而发光。比如,Y7为1,X0为0,则右下角的LED点亮。再如Y0为1,X0到X7均为0,则最上面一行8个LED 全点亮。 现描述一下用动态扫描显示的方式,显示字符“B”的过程。其过程如图3.1 图3.1用动态扫描显示字符“B”的过程 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本次设计。

测控电路实验报告

成绩 仪器与电子学院实验报告 (软件仿真性实验) 班级:14060142 学号:26 学生姓名:殷超宇 实验题目:信号运算电路设计 一、实验目的 1.通过实验,熟悉电桥放大电路的类型 2?理解电桥放大电路的原理 3.掌握电桥放大电路的设计方法 二、实验器材 MultiSim实验仿真软件 三、实验说明 1.设计信号运算电路,并在MultiSim 环境下搭建仿真电路。 2?把信号发生器接入输入端。 3?用示波器测量信号观测与理论计算是否相符。 四、实验内容和步骤 1?仿真分析P26中图2-5(a)、(b)单端输入电桥放大电路,并列写输出电压与电阻变化量、电桥电压的数学关系式。(仿真要求:改变某桥臂的电阻值:0.90R、0.92R、0.94R、0.96R、0.98R、R、1.02R、 1.04R、1.06R、1.08R、1.1R,记录相应输出电压,并绘制电阻-输出电压曲线) 2.仿真分析P27中图2-6差动输入电桥放大电路,,并列写输出电压与电阻变化量、电桥电压的数学 关系式。(仿真要求:改变某桥臂的电阻值:0.90R、0.92R、0.94R、0.96R、0.98R、R、1.02R、1.04R、 1.06R、1.08R、1.1R,记录相应输出电压,并绘制电阻-输出电压曲线)

3?仿真分析P27中图2-7线性电桥放大电路,,并列写输出电压与电阻变化量、电桥电压的数学关系式。(仿真要求:改变某桥臂的电阻值:0.90R、0.92R、0.94R、0.96R、0.98R、R、1.02R、1.04R、 1.06R、1.08R、1.1R,记录相应输出电压,并绘制电阻-输出电压曲线) 五、电路图实验结果 1.1

16X16点阵LED显示

毕业设计说明书 课题名称: 16乘16点阵显示电路的电路原理图及pcb绘制 学生姓名 专业 班级 时间 指导教师

姓名 设计题目16乘16点阵显示电路的原理图及pcb 绘制 指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3.对原理图里面的原件进行封装 4.创建链接表 5.导入到PCB里面,并排列连接 6.制造PCB版 7.

姓名 设计题目16乘16点阵显示电路的原理图及pcb绘制指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器 74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3对原理图里面的原件进行封装 4创建链接表 5导入到PCB里面,并排列连接 6制造PCB版

16-16点阵LED显示汉字汇编语言

LED16X16点阵显示课程设计报告 学院 专业 班级 学生 指导老师

一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED 动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视

16×16点阵LED显示汉字

以下程序在16×16点阵LED上依次显示“梅川酷子”四个字,分别用正向显示和反向显示,间隔两秒钟变换一次,电路图和效果图下图所示。 AT89c52晶振频率为24MHz,用T0定时,改变变量flag值,从而让程序确定显示哪个汉字和显示方式(正向or反向)。 #include 或者#include #define int8 unsigned char #define int16 unsigned int #define int32 unsigned long int8 flag; /* flag变量 MSB 7 6 5 4 3 2 1 0 LSB × ×× Bit5=1,Bit4=0 时,负向显示 Bit5=0,Bit4=1 时,负向显示 Bit[2..0]74HC138的片选信号 */ int8 n; int8 code table[][32]={ {0x88,0x00,0x88,0x00,0x88,0x7F,0x48,0x00,0xDF,0x1F,0xA8,0x10,0x9C,0x1 2,0xAC,0x14,0xEA,0x7F,0x8A,0x12,0x89,0x14,0x88,0x10,0x88,0x7F,0x08,0x 10,0x08,0x14,0x08,0x08},/*"梅",0*/ {0x08,0x20,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x2 1,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x04,0x 21,0x04,0x20,0x02,0x00},/*"川",1*/ {0x00,0x08,0xFE,0x08,0x28,0x0A,0x28,0x7E,0xFE,0x0A,0xAA,0x09,0xAA,0xF F,0xEA,0x00,0x86,0x00,0x82,0x7E,0xFE,0x42,0x82,0x42,0x82,0x42,0xFE,0x 7E,0x82,0x42,0x00,0x00},/*"酷",2*/ {0x00,0x00,0xF8,0x1F,0x00,0x08,0x00,0x04,0x00,0x02,0x00,0x01,0x00,0x0 1,0x00,0x41,0xFE,0xFF,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x 01,0x40,0x01,0x80,0x00}/*"子",3*/ }; void delay(void); void main(void){ int8 i; int8 j; int8 index;

测控电路实验报告

测控电路实验报告 班级: 学号: 姓名:

实验一运算电路的仿真 一、实验目的 通过使用仿真软件和实验箱,学习并掌握各种运算电路的仿真,并且调试出各种电路的输入输出波形。 二、实验内容 1、积分电路 2 、微分电路 3 、运算放大器积分电路 R1=16K,C1=100nF 4 、运算放大器微分电路 R1=16K, C1=100nF 5、反相加法器 6 、同相加法器 7、减法器电路

三、实验结果 1、积分电路 2、微分电路 3、运算放大器积分电路 4、运算放大器微分电路

5、反向加法器 6、同向加法器 7、减法器电路

实验二A/D 、D/A 转换实验 一、实验目的 1、掌握D/A和A/D转换器的基本工作原理和基本结构; 2、掌握大规模集成D/A和A/D转换器的功能及其典型应用。 二、实验内容 1、A/D转换实验 2、D/A转换实验 图1 所示电路是4 位数字—模拟转换电路。它可将4 位二进制数字信号转换为模拟信号。 R f=26kΩ,R=4kΩ,求当[u1u2u3u4]=[1110]和[u1u2u3u4]=[0010]时,输出电压u0。 三、实验结果 1、A/D转换实验

2、D/A转换实验 被选模拟通道输入 模拟 量 地址输出数字量 IN V1(V) A2A1 A0D7 D6 D5 D4 D3 D2 D1 D0 十进制IN0 4.5 0 0 0 0 1 1 1 0 0 1 1 115 IN1 4.0 0 0 1 0 1 1 0 0 1 1 0 102 IN2 3.5 0 1 0 1 0 1 0 1 0 0 1 89 IN3 3.0 0 1 1 0 1 0 0 1 1 0 0 76 IN4 2.5 1 0 0 0 0 1 1 1 1 1 1 63 IN5 2.0 1 0 1 0 0 1 1 0 0 1 1 51 IN6 1.5 1 1 0 0 0 1 0 0 1 1 0 38 IN7 1.0 1 1 1 0 0 0 1 1 0 0 1 25

8 16X16LED点阵显示程序

16×16按字显示程序: ;P0和P2口输出字型码,P1口输出列线扫描。 ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面MOV P0,A ;清除P0口 ANL P2,#00 ;清除P2口 MOV R2,#200 D100MS: MOV R3,#250 ;延时100毫秒 DJNZ R3,$ DJNZ R2,D100MS

MOV 20H,#00H ;字型码指针赋初值 L100: MOV R1,#10 ;每个字的停留时间 L16: MOV R6,#16 ;每个字16个16位码 MOV R4,#00H ;列线扫描指针清零,接4-16译码器,。 MOV R0,20H ;字型码指针存入R0 L3: MOV A,R4 ;列线扫描指针存入A MOV P1,A ;列线扫描输出 INC R4 ;扫描指针加1,指向下一列 MOV A,R0 ; 取码指针存入A MOV DPTR,#TABLE ;取数据表的上半部分的代码 MOVC A,@A+DPTR MOV P0,A ; 输出到P0 INC R0 ;取字型码指针加1,取下一个码。 MOV A,R0 MOV DPTR,#TABLE ;取数据表下半部份的代码 MOVC A,@A+DPTR MOV P2,A ;输出到P2口 INC R0 ;取字型码指针加1,取下一个码。 MOV R3,#02 ;扫描1毫秒 DELAY2:MOV R5,#248 DJNZ R5,$ DJNZ R3,DELAY2 MOV A,#00H ;清除屏幕 MOV P0,A ANL P2,#00H DJNZ R6,L3 ;一个字16个码是否完成? DJNZ R1,L16 ;每个字的停留时间是否到了? MOV 20H,R0 ;取码指针存入20H(静态显示) CJNE R0,#224,L100 ;7个字224个码是否完成? JMP LOOP ;反复循环 16×16滚动显示程序: ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面 MOV P0,A ;清除P0口

16x16点阵显示LED

开封大学 学生毕业设计 题目点阵式汉字电子显示屏设计 年级 11级专业电子信息工程技术 班级电子3班 学生姓名苗本朋起止时间 2013.11,4-2014,05.26指导教师肖兴达职称副教授 2014年 5 月 26 日

摘要 电子显示屏的应用范围越来越广泛,它作为一个重要的宣传平台,已经受到全社会的普遍认可。本课题以单片机为控制核心,通过8x8 LED电子显示屏及相关的外围电路,设计制作了一个16x16 点阵LED电子显示屏。 本文介绍了基于AT89C51单片机点阵显示屏的设计方案,阐述了16×16点阵LED 显示屏的设计原理与思路,详细叙述了系统硬件、软件设计的具体实现过程。论文重点阐述了显示模块及相关驱动模块等的模块化设计思路与制作方法。软件部分同样也采用模块化的设计思想,显示模块,并采用简单流通性强的汇编语言编程实现。系统能实现清晰的图文伴随左移出显示功能。在实际设计调试过程中,通过肉眼观察该显示屏显示的图文是否稳定、清晰无串扰,查找造成图文不清晰的根源,确定调整方案,尽可能的使显示图文与要求相符合。 关键词:单片机;LED显示屏

目录 1 引言 (3) 1.1 课题的背景 (3) 1.2 研究目的和意义 (4) 1.3 研究内容 (5) 2 系统方案论证 (5) 2.1 方案论证 (6) 2.2模块方案确定 (6) 2.2.1 电源模块 (6) 2.2.2 单片机控制模块 (6) 2.2.3 时钟信号电路 (6) 2.2.4 复位电路 (7) 2.2.5 显示驱动模块 (7) 3 系统硬件电路设计 (8) 3.1硬件电路设计 (8) 3.2各单元电路说明 (8) 3.2.1 单片机主控模块的设计 (8) 3.2.2 16X16点阵显示模块设计 (11) 3.2.3 驱动模块电路设计 (13) 3.2.4 电源电路设计 (15) 4 系统软件设计 (18) 4.1点阵显示原理 (18) 4.2系统程序流程图 (20) 4.3系统程序 (22) 5 单片机I/O口分配 (26) 6 结果分析及总结 (26) 6.1结果分析 (26) 6.2总结 (26) 参考文献 附录1:电路图 附录2:元件清单

彭耀峰第七周电路实验报告

实验报告 课程名称: 电路与电子技术实验 2 指导老师: 孙盾 成绩:__________________ 实验名称: 集成运算放大器指标测试 实验类型: 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一、实验目的 1、加深对集成运算放大器特性和参数的理解; 2、学习集成运算放大器主要性能指标的测试方法 二、实验内容和原理 集成运算放大器是一种高增益的直接耦合放大电路,在理想情况下,集成运放的Aod =∞、Ri =∞、V IO =0、 I IO =0、K CMR =∞。但是实际上并不存在理想的集成运算放大器。为了解实际运放与理想运放的差别,以便正确使用集成运放大器,有必要研究其实际特性,并对其主要指标进行测试。 1、输入失调电压V IO :输入信号为0时,输出端出现的电压折算到同相输入端的数值。电路如图,用万用表测出V O1,由12 11 O IO V R R R V += ,得出V IO 。 2、输入失调电流:是指当输入信号为0时,运放的两个输入端的基极偏置电流之差,反映了运放内部差动输入级两个晶体管β的失配度,电路如图,用万用表测出V O2,由 b O O IO R R R R V V I )(| |211 12+-= 计算得出I IO ; 专业:电气1304 姓名:彭耀峰 学号:3130103174 日期:2015.4.21 地点:东3-211 D1_ D1

3、输入偏置电流I IB :为了使运放输入级放大器工作在线性区,所必须输入的一个直流电流,实验电路如图,当S 1 断开、S 2 闭合时,测得运放输出电压为V O3,当S 1闭合、S 2断开时,测得运放输出电压为V O4,则))(( 132 11 O O b BN V V R R R R I -+=, ))(( -142 11 O O b BP V V R R R R I -+=,两式相减得 b 211 43)() (21)(21R R R R V V I I I O O BP BN IB +-=+= 4、开环差模电压放大倍数Aod :集成运放的开环差模电压放大倍数Aod 可以采用直流信号源进行测量,但为了测试方便,通常采用低频(如几十赫兹以下)交流信号进行测量。具体的测量方法很多,一般采用同时引入直流反馈和交流反馈的测试方法,如图

Proteus仿真1616LED点阵显示汉字.docx

例.利用Proteus仿真一块16×16LED点阵,并在其上循环显示汉字“郑州大学”。 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本例的显示任务。 首先,从Proteus元件库中找到“MATRIX-8X8-RED”元器件,并将四块该元器件放入Proteus文档区编辑窗口中。此时需要注意,如果该元器件保持初始的位置(没有转动方向),我们要首先将其左转90°,使其水平放置,那么此时它的左面8个引脚是其行线,右边8个引脚是其列线(当然,如果你是将右转,则右边8个引脚是行线)。然后我们将四个元器件对应的行线和列线分别进行连接,使每一条行线引脚接一行16个LED,列线也相同。并注意要将行线和列线引出一定长度的引脚,以便下面我们使用。 连接好的16×16点阵如下图所示: 连接成如上图的16×16点阵只是第一步,这样分开的数块并不能达到好的显示效果,下面我们要将其进一步组合。组合实际上很简单,首先选中如上图中右侧的两块8×8点阵,然后拖动并使其与左侧的两块相并拢,如下图所示: 我们可以看到原来的连线已经自动隐藏了,至于线上的交点,我们不要去动。然后,我们再来最后一步,选中下侧的两块点阵,并拖动使其与上侧的两块并拢,最后的效果如下图所示: 可以看到,原来杂乱的连线现在已经几乎全部隐藏了,一块16×16的LED点阵做成了。需要注意,做成的LED点阵的行线为左侧的16个引脚,下侧的16个引脚为其列线,而且其行线为高电平有效,列线为低电平有效。然后,我们将其保存,以便以后使用。 制作好16×16LED点阵,我们接下来来进行本例的实验。 由于本例的软件程序需要首先注意硬件连接,所以,我们首先来看一下本例的电路图。电路图中用到了74159集成芯片,其效用是将4位输入译为16输出(低电平有效),刚好满足我们的要求。电路图中的其他元器件我们在以前的仿真实例中都已介绍过,此处不再赘述。最终完成的电路图如下所示:

16x16点阵显示汉字并移动原理图程序

16x16点阵显示汉字并移动原理与源程序(简单) 周渴望南阳理工学院QQ418084696 本次设计中首先在做了8x8点阵汉子显示基础之上做的,其实点阵原理很简单,就像数码管动态显示一样简单,只要你分清楚行控制,与列控制,某段时间某列可以点亮,哪几行亮,动态扫描一个循环自然组成不同的图像。 这里取模方式是先最左边的一列选通,然后控制控制两个74ls164分别点亮16行中的几行,然后是从左向右第二列依次下去,形成动态扫描。本文程序非常简单,虽然没注释,认真看几十分钟就全明白了,说白了,数码管动态显示一回事(呵呵其实我自己做的时候下了不少功夫建议先做8x8)。

源程序: #include unsigned char i; sbit DA TA1=P3^7; sbit DA TA2=P3^5; sbit CLCK1=P3^6; sbit CLCK2=P3^4; #define uchar unsigned char #define uint unsigned int void shuru_1();

unsigned char num,k,temp1,temp2,zuo=0; unsigned int timecount; unsigned char code lie[16]={0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0a,0x0b,0x0c,0x0d,0x0e,0x0f, };/*74hc154显示代码从左到右16列依次选通*/ unsigned char code hang[288]={0x00,0x00,0x00,0x00,0x1F,0xF8,0x11,0x10,0x11,0x10,0x11,0x10,0x11,0x10,0xFF,0 xFE,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x1F,0xF9,0x00,0x01,0x00,0x0F,0x00,0x00,/*"电",0*/ 0x01,0x00,0x41,0x00,0x41,0x00,0x41,0x00,0x41,0x00,0x41,0x02,0x41,0x01,0x47,0xFE,0x 45,0x00,0x49,0x00,0x51,0x00,0x61,0x00,0x41,0x00,0x01,0x00,0x01,0x00,0x00,0x00,/*"子",1*/ 0x00,0x00,0x00,0x42,0x44,0x44,0x4C,0xC8,0x54,0xD0,0x65,0x42,0x45,0x41,0x46,0x7E,0 x84,0x40,0x88,0x40,0x90,0x50,0x81,0x48,0x80,0xC4,0x00,0x62,0x00,0x00,0x00,0x00,/*"系",2*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x1F,0xFF,0x11,0x22,0x31,0x22,0x51,0x22,0x91,0x22,0x1 1,0x22,0x11,0x22,0x11,0x22,0x1F,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*"自",3*/ 0x02,0x08,0x22,0x3C,0x23,0xC8,0x22,0x08,0x22,0x28,0x22,0x1D,0x02,0x02,0x08,0x0C,0 x08,0x70,0xFF,0x80,0x08,0x02,0x08,0x01,0x08,0x02,0x0F,0xFC,0x00,0x00,0x00,0x00,/*"动",4*/ 0x00,0x80,0x01,0x00,0x06,0x00,0x1F,0xFF,0xE0,0x00,0x00,0x20,0x00,0x40,0x00,0x80,0x FF,0xFC,0x02,0x02,0x04,0x02,0x08,0x02,0x10,0x02,0x20,0x02,0x00,0x1E,0x00,0x00,/*"化",5*/ 0x00,0x08,0x00,0x08,0x1F,0xC8,0x92,0x48,0x52,0x48,0x32,0x48,0x12,0x48,0x1F,0xFF,0x 12,0x48,0x32,0x48,0x52,0x48,0x92,0x48,0x1F,0xC8,0x00,0x08,0x00,0x08,0x00,0x00,/*"单",6*/ 0x00,0x00,0x00,0x01,0x00,0x06,0x7F,0xF8,0x04,0x40,0x04,0x40,0x04,0x40,0x04,0x40,0x0 4,0x40,0xFC,0x40,0x04,0x7F,0x04,0x00,0x04,0x00,0x04,0x00,0x00,0x00,0x00,0x00,/*"片",7*/ 0x08,0x20,0x08,0xC0,0x0B,0x00,0xFF,0xFF,0x09,0x00,0x08,0xC1,0x00,0x06,0x7F,0xF8,0 x40,0x00,0x40,0x00,0x40,0x00,0x7F,0xFC,0x00,0x02,0x00,0x02,0x00,0x1E,0x00,0x00,/*"机",8*/ }; void shuru_1() /*74ls164进行输入数据*/ { uchar t; for(t=0;t<8;t++) { temp1<<=1; DA TA1=CY; CLCK1=1; CLCK1=0; } }

相关文档