文档视界 最新最全的文档下载
当前位置:文档视界 › AD76816高速数模转换器(中文)

AD76816高速数模转换器(中文)

AD76816高速数模转换器(中文)
AD76816高速数模转换器(中文)

AD768 16-Bit 高速数模转换器

特性

刷新率:30 MSPS

分辨率:16-Bit

线性度: 1/2 LSB DNL @ 14 Bits

1 LSB INL @ 14 Bits

最快建立时间:

满量程25 ns ,精度0.025%

SFDR @ 1 MHz 输出: 86 dBc

THD @ 1 MHz 输出: 71 dBc

低干扰脉冲: 35 pV-s

功率消耗: 465 mW

片上基准源:2.5 V

边沿触发锁存器

乘法参考能力

应用

任意波形发生器

通信波形重建

矢量图形显示

产品描述

AD768是16-Bit高速数模转换器(DAC)提供优良的交流和直流性能。AD768是ADI公司的先进双极CMOS制造(abcmos)处理,结合双极晶体管的速度,激光微调薄膜电阻的精度和有效CMOS逻辑。一个分段电流源架构与专有开关技术相结合,以减少毛刺能量来获得最大化的动态精度。边沿触发输入锁存器和一个温度补偿的带隙基准源已集成,提供一个完整的单片DAC解决方案。

AD768是电流输出DAC标称满量程输出电流20mA和一个1K 的输出阻抗。差分电流输出提供支持单端或差分应用。电流输出可以绑接输出电阻提供电压输出,或连接到高速放大器的求和点提供一个缓冲电压输出。同时,差分输出可以连接到变压器或差分放大器。

片上基准源和控制放大器配置为最大的准确性和灵活性。AD768可以通过芯片上的基准源或由一个外部基准电压基于一个外部电阻的选择驱动。外部电容器允许用户优化变换参考带宽和噪声性能。

AD768采用±5 V电源运行,典型的消耗功率465毫瓦。该芯片采用28引脚SOIC封装,规定工作在工业温度范围。

产品亮点

1、低干扰和快速建立时间提供杰出的波形重建或数字动态性能合成的要求,包括通信。

2、AD768优良的直流精度使得它适合高速A/D转换应用。

3、温度补偿,包括片上2.5 V带隙基准。

4、允许的参考同一个外部电阻器使用电流输入。外部基准也可以使用。

5、AD768电流输出可单独使用或差分,无论是负载电阻,外部运算放大器求和点或变压器。

6、适当选择一个外部电阻和补偿电容允许用户优化AD768的参考标准和目标带宽应用。AD768技术参数

(TMIN to TMAX , VDD = +5.0 V, VEE = –5.0 V, LADCOM, REFCOM,

DCOM = 0 V, IREFIN = 5 mA,CLOCK = 10 MHz, unless otherwise noted)

说明:

1、IOUTA 测量,为虚拟接地。

2、标称FS 输出电流是4倍的IREFIN 电流,当IREFIN=5mA 时,FS 电流是20mA

3、输出电流定义为用于IREFIN 和任何外部负载的总电流。

4、参考带宽是一个外部限制NR /引脚的函数。参考补偿章节的详细数据表。

5、排除内部基准源漂移。

6、包含内部基准源漂移。

7、测量无缓冲的输出电压范围(1 V)和FS IOUTB 50 负载电流。规格变更,恕不另行通知。

绝对最大额定参数*

*强调高于列出“绝对最大额定值”之上可能会造成永久性损坏器件。这是一个强调评级只有和功能操作的器件在这些或任何其他条件高于表示在操作该规范的部分不是暗示。长时间暴露在绝对最大额定值可能影响器件可靠性。

订购指南

晶片测试范围1 (T A = +258C, V DD = +5.0 V, V EE = –5.0 V, I REFIN = 5 mA, 除非另有说明)

说明:

1、电气测试执行限制显示晶片探针。由于不同的装配方法和正常的成品率损失,成品率为标准产品

包装后不能保证切为骰子。。

2、限制推测的单个比特错误的测试。

3、固死锁存器控制。当锁存器控制和时钟衬垫高时边缘触发锁存成为电平触发。

4、固死衬底连接到VEE。

芯片管脚描述

技术参数定义

要增加负载电流时,基准源输出应连接

DAC 转换功能

功能描述

AD768是电流输出型DAC 标称满刻度电流20 mA 和1K Ω输出阻抗。差分输出提供支持单端或差分应用。DAC 架构结合分段电流源馈给高端四大比特(MSBs)和1K Ω组成R-2R 梯状电阻较低的12位(LSB )。DAC 电流源馈给激光修整薄膜电阻实现优秀直流线性。利用专有开关技术减少短脉冲干扰和实现最大化动态精度。 数字接口提供了CMOS 兼容的边沿触发输

入锁存,该接口容易连接CMOS 逻辑和支持时钟频率高达40MSPS 。芯片上集成温度补偿2.5 V 带隙基准驱动AD768使用一个外部电阻输入基准源电流。原理框图如图1所示,是一个简单的表示内部电路来帮助理解AD768的操作。DAC 转换函数描述,随后详细描述每个关键电路部分。典型电路配置指示在AD768应用章节。 AD768可用于电流输出模式,输出连接

到虚地,或采用电压输出模式输出连接一个外部电阻负载。

电流输出模式,

IOUT = (DAC CODE/65536)×(IREFIN × 4) 电压输出模式, VOUT = IOUT ×RLOAD //RLAD 注释:

DAC CODE 是DAC 的十进制表示的输

入代码;是一个在0到65535之间的整

数。

IREFIN 是电流应用于IREFIN 管脚用,电流大小取决于VREF / RREF 。

代替IOUT 和 IREFIN ,

VOUT = –VREF ×(DAC CODE/65536) ×4×[(RLOADiRLAD)/RREF] 以上方程澄清AD768传递函数的重要方面;满刻度DAC 的电流输出与输入电流成正比。电压输出函数是(RLOAD//RLAD)/

RREF 的比值,允许取消电阻漂移,这一特

点是通过选择匹配电阻特性来获得。

基准源输入

IREFIN 脚对REFCOM 脚是一个低阻抗的电

流输入节点。这个输入电流设置DAC 电流源的大小,满刻度输出电流是加至IREFIN 脚电流的四倍。标称的输入电流5 mA,标称满刻度输出电流是20 mA 。 芯片上2.5 V 基准源与外部500Ω电阻器

从REFOUT 脚连接到IREFIN 脚能产生

5mA 的基准输入电流。如果需要,可以使用各种各样的外部基准电压,原则是基于选择一个适当的电阻器。然而,要维持

稳定的参考放大器,在IREFIN 脚连接的

外部阻抗必须保持小于1K Ω。

图2 等效的基准源输入电路 IREFIN 电流可以从1mA 到7mA 不同输

入,随后将导致DAC 按比例变化的满刻

度电压。由于不同IREFIN 输入电流DAC

内的操作电流也不同,功耗也是如此。图3说明了这种关系。

图3 功耗对比IREFIN 电流

注意,AD768的最佳操作输入电流是

增益错误。对50 输出终端,由此产生的增益误差大约-5%。该配置的一个示例是无缓冲的电压输出。

数字输入

AD768数字输入由16个数据输入插脚和一个时钟引脚组成16位并行数据输入遵循标准的正二进制编码,DB15是最高位(MSB)和DB0是最低有效位(LSB)。当所有数据位为逻辑1时,IOUTA产生满刻度输出电流。IOUTB是互补输出,当所有数据位为逻辑0时输出满刻度。满刻度电流分离在两个输出之间作为输入代码的函数。

数字接口实现使用一个边沿触发主从锁存。DAC输出跟随时钟上升沿刷新,芯片可支持时钟频率高达40MSPS。时钟可以在任何占空系数下操作,但要符合规定的最小锁存脉冲宽度。设置和保存时间也可以是不同的时钟周期内但要满足Array

指定的最低极限, 虽然定位这些过渡的边缘可能会影响数字直通。数字输入阈

值设置为CMOS兼容逻辑大约为正电源

图11 等效的数字输入电路

数字输入信号到DAC模拟输出应该尽可能隔离。到DAC输入互连的距离应保持尽量短。如果数字线太长终端电阻可以提高性能。减少数字引线,输入就减少了毛刺干扰和振荡,也可以减少边AD768-典型性能曲线

缘的速度来进一步改善性能。

AD768 输出配置的应用

接下来这章节是说明AD768的一些典型输出配置。虽然大多数配置在所有情况下IOUTA IOUTB输出都能互换。除非另有说明,这是假定IREFIN和满刻度电流设置为标称值。

图 21 0V 到 -1V 无缓冲电压输出

在应用中,需要指定的直流精度,适当的电阻的选择是必需的。电阻器除了绝对公差,电阻器还会自动发热导致意想不到的误差。为了达到最佳的INL,推荐采用缓冲电压输出如图23所示。在这个配置中,RFB 电阻的自动发热可能导致增益变化,产生一个弓形INL 曲线。但这种效应可以通过选择最小化低温系数电阻器来改善。

无缓冲的电压输出配置

图21显示了AD768的配置提供一个单极输出范围约为 0 V 到-1V 。标称满刻度的20 mA 电流流经50 ΩRL 电阻器和DAC 输出电阻(来自R-2R 阶梯)1K Ω 并联,合计为47.6 Ω。这会产生理想的满刻度电压-0.952 V 对LADCOM 。此外,DAC 输出电阻1KW 的公差为±20%,获得了满刻度增益±1%的变化。对于这个线性的增益误差变化结果,可以很容易

地通过调整IREFIN 来补偿。在这个配置中,重要的是要注意合规的输出的限制。最大的负电压合规是-1.2 V,禁止使用100 Ω的负载产生0V-2V 的输出振幅。一个额外的考虑是这是一个积分非线性模式操作。当电压输出节点变化时,有限的输出阻抗使DAC 电流转

向开关产生小的变化输出电流随输出电压同

样产生变化,产生一个弓形的INL(大于8 LSB S)。要达到最优INL 性能,建议使用缓冲电压输出模式。

INL 也有点依赖未使用的(IOUTB)输出端,在模拟输出章节中有所描述。为了消除这种影响,IOUTB 端应该和IOUTA 一样的阻抗,因此这两个输出对地是同样的电阻分压器。这将保持电流在LADCOM 的常数,最小化任何相关代码相关的IR 滴落在DAC 的阶梯内可能产生额外的非线性。

AC-耦合输出

如图22所示AD768配置的输出提供了一个双相输出信号而无需使用求和放大器。交流负载阻抗和AD768输出阻抗 并联组成DAC 输出,即RL 和偏压电阻RB 并联。额定输出振幅图22中给出的值是±0.5 V ,假设条件

RB > > RL 。电路的增益是阻抗RLAD 、RB 和RL 给定的公差函数。选择RB 和C 值的大小主要取决于需要3 dB 高通截止频率和偏置电流,连接RB 的后级电流IB 。3 dB 频

率特性的近似方程为,

f –3 dB = 1/[2×π

× (R B + R L //R LAD )×C].

输出的直流偏移量是后级偏置电流和RB 电阻值de 一个函数。例如,如果C = 390 pF,RB = 20K Ω,和IB = 1.0 μA,-3 dB 的频率大约是20.4 kHz 和直流偏移量将20 mV 。

缓冲电压输出配置

图 22 ±0.5V 无缓冲AC 耦合输出

图23 单极性 0V 到2V 的缓冲

电压输出

图24 使用分流器单极性0V 到2V

的 缓冲电压输出

图25 双极性±2.5V 缓冲电压输出

单极配置

对于正输出电压,或电压范围大于允许输出合规参数,一些类型要采用外部缓冲。在基于考虑

诸如速度、精度和成本的情况下可以选择各种各样的放大器。当动态性能很重要时,AD9631是一个

很好的选择,可提供低失真的10 MHz 频宽。图23显示了0V 到+ 2 V 的满刻度单极缓冲电压输出。图23显示了0V 到+2 V 的满刻度单极缓冲电压输出。缓冲输出电压的结果是从DAC 输出电流流经放大器的反馈电阻,R FB 。在这种情况下,20 mA 满刻度的电流在RFB(100Ω,)产生一个输出电压0V 到+ 2V 的范围。为了实

现最佳的直流线性度可采用相同的配置和建议使用精密放大器AD845。

使用分流器缓冲输出

图23中所示的配置是放大器不可能在这种情况下提供需要的20 mA 反馈电流。作为一种替代方法,图24显示了放大器A1结合电阻分流。选择R FF 和RL 的值是为了限制电流,电流I3,必须由A1提供。电流I 2应通过电阻器RL 接地分流。R FF 和RL 并联电阻值不应超过60Ω避免超过指定的合规电压。图24中给出的值,I 3等于4mA,结果是单极性输出摆幅0 V 到2V 。注意,因为A1获得大约-4的反相增益和+ 5噪声增益,所以应考虑A1的失真和噪声性能。

双极性配置

双极性模式是通过提供一个补偿电流,I BIPOLAR ,加至I / V 放大器(A1)求和节点来完成。通过设置I BIPOLAR 准确的满刻度电流的一半通过R FB ,结果得到相对典型地对称求和节点电压输出。图25显示了实现双极±2.5 V 的电压输出。电

阻分压器设置为I DAC 满刻度电流是5mA 。内部2.5 V 基准产生 在I BIPOLAR 的2.5 mA 电流流过RBIP 。当DAC 设置半刻度(100 0),I DAC 输出2.5 mA 电流,正好是I BIPOLAR 所抵消,A1输出为0V. 由于DAC 输出从零到满刻度变化,所以A1可获得从-2.5 V 到+ 2.5 V 的输出电压。注意,对于这种配置从R EFOUT 输出总电流为15mA,所以外部缓冲是必需的。虽然运算放大器

图 26 使用变压器的微分输出

图27 直流差动单端转换

AD811、AD8001和AD9631等的选择具有优良的动态性能。但在直流应用中,放大器如AD845或AD797可能更合适。

微分输出配置

在应用不需要基带操作时典型地使用变压器耦合。AD768采用变压器耦合的互补输出固有的好处是提供电气隔离,没有额外的功率消耗。此外,正确应用变压器不应该降低AD768输出信号的噪声和失真,由于变压器是一个被动的器件。图26显示了一个中心抽头变压器提供必要的直流输出负载条件下,输出IOUTA 和IOUTB 驱动±0.5 V 信号到50 Ω负载。在这个特殊的电路,中心-抽头变压器的阻抗比4相对应的匝比2。因此,任何负载RL,到原边侧乘以4倍(即。,在这种情况下是200 Ω)。

为了避免直流电流流入R-2R 梯DAC,中心抽头的变压器应连接LADCOM 。为了遵守最低电压-1.2 V 规定,最大的微分电阻之间IOUTA 和IOUTB 不应该超过240 Ω。注意,微分电阻由负载RL,变压器的原边侧与任何额外微抵抗,RDIFF 组成,穿过两个输出。RDIFF 是典型增加到变压器原边侧和主电源到负载的阻抗相匹配。(即,在这种情况下200Ω)。

通过放大器直流耦合

如图27所示使用该电路可以很容易地实现直流差动单端转换。这个电路将减小来源微分电路的交流和直流共模误差。

因此,共模噪声(即,时钟通道)以及直流单极偏移误差将显著降低。同时,对于R 和R REF 薄膜电阻器 ,要做到良好的温度稳定性可以通过使用温度跟踪。电路的设计方程对给定的应用提供对电压输出振幅和IREF 最佳化进行优化。

电源和接地方面考虑

在系统中要同时实现高速和高精度高,印刷电路板设计往往是一样重要的电路设计。在设备选择上必须使用合适的射频技术时,布局位置和轴线应提供应绕道和接地。要从AD768获得最佳结果保持电源和接地的低噪声是至关重要的。图28提供推荐的印刷电路板的插图,

在AD768评估板上实现接地层布局布线。

如果实施得当,接地面层可以实现高速电路板上的很多功能:绕过,屏蔽,电流传输在混合信号设计中,模拟和数字部分的电路板应有所不同,模拟接地面局限于覆盖模拟信号和数字接地面局限于覆盖数字互联引线。DAC 的所有模拟接地引脚,基准源地,和其他模拟输出组件地,应直接绑定到模拟接地面。两个接地面应该采用连接的路径1/4到1/2英寸上下宽度的覆铜线径连接或DAC 在1/2英寸接地线内部如图28所示。应注意确保接地面对至关重要

图28 印刷电路板接地面层

图29 印刷电路板电源面层

的信号路径不间断。在数字方面,包括运行DAC 的数字输入线以及任何时钟信号。在模拟方面,包括DAC 输出信号,参考信号和电源。

使用宽运行或电源供电线路也是被推荐。这是提供一个电源供电部分双重角色的串联低阻抗,以及提供一些“自由”去耦电容连接到适当的地。图29显示了电源面层布局中使用AD768的评估板。AD768评估板使用四层PCB 板,实践上面所讨论的要求展示了良好的PCB 布局。

至关重要的是,要注意和布局的信号地和电源互联,以避免诱导外部电压连在接地信号路径。建议所有连接是短的,尽可能直接靠近封装元件引线本身,以减少不同电流之间传导的分享路径。当连线超过一英寸长度时,应该考虑某种类型的终端电阻。这种电阻器的必要性和值将取决于逻辑系列使用。插座应该避免器件引脚引入了不必要的相邻之间的电容耦合。

电源和去耦

其中最重要的外部组件跟相关联高速设计的电容器要用于电源旁路。这些电容器的选择和布局是至关重要的,在很大程度上都依赖于系统配置的特性。对于AD768要是串联电阻和电感最小化要主要的考虑旁路电容。许多电容器在20 MHz 以上将开始有电感效应。陶瓷和薄膜型电容器串联电感低于一般特性的钽或电解型电容。建议AD768每个电源的退耦电容采用0.1 μF 电容器,而且尽可能接近器件管脚。表面贴片片电容器,由于他们具有低的寄生电感,比通孔类型的电容更好。一些DAC 引脚和供电电源之间串联电感可以有效的过滤电源带来的高频噪声。这个电感可以通过使用小铁氧体磁珠来生成。

干净的数字电路供电通过使用如图30所示的电路来生成。电路由一个微分LC 滤波器单独的电源和返回线组成。使用低的等效串联电阻电解类电容和钽电容器可以降低电源噪声。

应 用

AD768作为乘法DAC 使用

由于IREFIN 可以1mA 变调到7mA ,AD768就很容易地配置乘法DAC 。

参考放大器设置最大乘

图30 +5V 单电源应用微分LC 滤波

图33 典型DMT ADSL 传输链

法带宽到15MHz,任何外部电容器到NR 节点有助于根据图7来限制带宽。IREFIN 可以很容易地通过适当比列和在IREFIN 节点的调制信号求和来调整。图31显示了如何VMOD 调制信号如何以适当比列和转换电流通过RREFMOD,峰值电流不得超过3.0 mA 。图32显示了AD768相对于参考通道的频率典型的失真曲线。

AD768应用于多频声发射器(ADSL)

通信的应用经常需要组件性能方面显著差异简单,单音信号用于典型的信噪比(SNR)和总谐波失真(THD)测试。这尤其适用于扩展频谱和分频多路复用(FDM)类型的信号,信息

内容举行的小信号组件传播在整个频带。宽动态范围,细致精密线性好,和低互调失真是必需的。不幸的是,一部分的完整规模的信噪比和性能可能不是一个可靠的指标在这些多频声应用程序如何执行。

一个例子的FDM 通信系统是不同的(离散多频声)ADSL(不对称数字用户线)目前正在考虑的ANSI 标准。图33显示了一个框图的发射机的功能。数字位用于QAM 调制每个约200个离散音频。一个相反FFT 转成调制到频域信息512年时间点以2.2MSPS 采样率。然后把这些时间点插值到一个FIR 滤波器上采样(在

图 32 参考通道失真与频率对比关系

本例中采样率4.4MSPS)。通过AD768运行位流,紧随其后是4顺序模拟平滑滤波器,然后运行行驱动电路。图34显示了测试矢量运行这个系统的频域的表征,而34 b显示了时间域表佂。表I和II显示对ADSL应用的可用信噪比

(SNR)和总谐波失真(THD)输出滤波器输出与频率窗口的参数。AD768的16位的动态范围和14位的线性对DMT信号提供了优良的性能。它的快速度输入率将支持更快的过采样率,如果有人感兴趣在数字滤波器的复杂性可以用一个简化模拟滤波器的插补器来交换。

AD768评估板

一般的描述

AD768-EB是一块评估板AD768 16位30MSPS D / A转换器。设计结合模拟和数字样机区域允许用户可以轻松地和有效地评估AD768任何应用高分辨率,高转换速度的需求。

数字输入AD768-EB可以直接驱动使用标准40-pin IDC连接器。外部时钟也是需要的。这些信号可能取自用户的应用工作台上,或者他们也可以在样机区域生成从一个电路建立。AD768-EB的模拟输出上可用BNC连接器。这些输出可能配置使用电阻,放大器,或变压器。

操作程序和功能描述

电源

电源通过应用电线或香蕉插头到金属接线柱包括印刷电路板提供AD768-EB应用。DGND. 数字地。数字地和模拟地在AD768下面连接在一起。可以获得独立的模拟和数字供电的最优性能。用于评估目的,单电源,使第二个模拟和数字接地的供电是可以接受的。+5D. + 5 V(±5%)数字电源应该能够提供50 mA。

-5A.- 5 V(±5%)模拟电源应该能够提供-75 mA。

AGND. 模拟地。模拟地和数字地在AD768下面连接在一起。可以获得独立的模拟和数字供电的最优性能。用于评估目的,单电源,使第二个模拟和数字接地的供电是可以接受的。–VEE.负模拟电源;典型为-5V到-15V。这个电源作为负电源线提供外部放大器使用。提

供给AD811 AD768-EB,电源必须能够提供-20 mA(不包括外部负载需求)。

+VCC.正模拟电源;典型为+5V到+15V。这个电源作为正电源线提供外部放大器使用。提供给AD811 AD768-EB,电源必须能够提供-20 mA(不包括外部负载需求)。

模拟输出

AD768-EB的模拟输出(s)在BNC插孔“A”和“B”是可用的。互补的电流输出从AD768可以配置使用电阻、放大器、变压器。AD768-EB仅有的“A”部分是工厂装配。“B”面,或互补输出,如果有要求可以由用户来装配。

JP1.缓冲运放输出“A”。跳插JP1,如果需要缓冲运算放大器的输出就应安装。当JP1安装时,JP2和JP3必须移开。输出可用“A”连接器,标称电压摆幅为0 V - 2 V和与数字同相输入这是工厂默认设置。

JP2.双极性50 Ω变压器输出。如果跳插JP2安装,变压器耦合输出可以在“A”连接器。当跳插JP2时,JP1和JP3必须移开。变压器既是单端微分(differential-to-single-ended)转换器,而又作为阻抗变压器。正确操作,变压器必须配接终端50Ω电阻器。R2用100Ω电阻器必须更换,R7。一个附加的100Ω电阻器和变压器包括AD768评估板。外加的100Ω电阻必须焊接到适当的位置标记R3和变压器必须插入到插座标签“T1。额定输出电压为50Ω负载1 V p p以共模电压0 V为中心。”

JP3.电阻输出A。JP3用于连接的电阻器R2“A”输出。U2应该从插座中移开了。电阻器R2使用24.9Ω,输出是一个无缓冲的 0 V到-0.5 V输出和数字输入反相。电阻器R2可能被替换为其他值,但小心注意,应该观察推荐输出合规范围。当JP3安装时,为了正确操作JP1和JP2必须移开。

JP4. 电阻输出“B”。JP4用于连接电阻R3的“A”输出。U3应该从插座中拔出。AD768评估板是从工厂发货电阻R3接地。用户可以选择安装R3不同的电阻值来生成一个与输入数字同相的无缓冲的输出。小心注意,当选择R3的阻值时,应观察推荐输出的合规范围。当JP4安装时,为了正确操作JP5必须移开。

JP5. 缓冲运放输出“B”。如果需要缓冲运放输出跳插JP5应安装。当JP5安装时,为了正确操作JP4必须移开。输出可以在“B”连接器上,标称电压摆幅由电阻R3,R9和R10的组合来决定。这个运算放大器在AD768评估板上没有提供。

基准源

AD768评估板可以选择AD768的内部基准或外部基准。R12用于调整AD768的满刻度输出电流。

SW2.内部/外部参考选择开关。当SW2在位置1,选中AD768内部基准。当SW2在位置2时,用户必须提供一个外部基准。

电平位移的模拟输出

使用运算放大器配置,R8和R6电阻器插座可以安插一个适当值的电阻增加直流偏置电流输出。作为一个例子,来生成一个双极输出信号,一个1.25KΩ电阻器安装到“R8”插座电平位移通常单极输出-1 。这是由工厂默认R8和R6的开放电路。

时钟输入

必须提供一个外部采样时钟标记为“时钟”的BNC连接器或IDC连接器33引脚。这个时钟必须符合AD768数据表中列出的逻辑电平。“时钟”输入终端用一个可拆卸的51Ω电

阻器。IDC连接器时钟无端接的连接。

SW1.时钟源选择开关。SW1在位置1时,IDC连接器33脚应用于AD768的时钟输入。当SW2在位置2中,“时钟”BNC连接器应用于AD768的时钟输入。

数字输入

AD768的数字输入,DB0-DB15,可以通过为J1,40-pin IDC连接器。这些输入应遵守AD768数据表中给出的规格。

布局的考虑

图28和29显示AD768-EB(评估板)接地和电源平面布局。图35-38数据显示原理图,PCB 走线、丝印和组件的布局AD768 4层评估板。

独立的地和电源层具有数个的高速布局优势。(进一步概述了这些信息优势,看应用注意“设计和布局在视频图形系统如何降低电磁干扰(EMI)“E1309可用模拟设备[(617)461 3392])。如果数字回路电流可以返回数字地而不会调制模拟接地,这就是一个坚实的可以使用的地。如果这是不可能的,就需要为了分裂接地面迫使电流流动方向控制。这种类型的接地方案如图28所示。接地分为模拟和数字地然后在AD768下面绑定在一起。在任何情况下,AD768尽管一些管脚标有“数字”和“模拟”接地,AD768应该作为一种模拟组件和在该器件下面有一个公共接地点连接。表4给出了AD768评估板的完整元件清单。

解读高速数模转换器(DAC)的建立和保持时间

解读高速数/模转换器(DAC)的建立和保持时间Oct 10, 2007 摘要:本应用笔记定义了高速数/模转换器(DAC)的建立和保持时间,并给出了相应的图例。高速DAC的这两个参数通常定义为“正、负”值,了解它们与数据瞬态特性之间的关系是一个难点,为了解决这些难题,本文提供了一些图例。 介绍 为了达到高速数/模转换器(DAC)的最佳性能,需要严格满足数字信号的时序要求。随着时钟频率的提高,数字接口的建立和保持时间成为系统设计人员需要重点关注的参数。本应用笔记对建立和保持时间进行详尽说明,因为这些参数与Maxim的高性能数据转换方案密切相关。 定义建立和保持时间 建立时间(t S)是相对于DAC时钟跳变,数据必须达到有效的逻辑电平的时间。保持时间(t H)则定义了器件捕获/采样数据后允许数据发生变化的时间。图1给出了相对于时钟上升沿的建立和保持时间。特定器件的时钟信号有效边沿可能是上升/下降沿,或由用户选择,例如MAX5895 16位、500Msps、插值和调制双通道DAC,CMOS输入。 图1. 相对于时钟信号上升沿的建立和保持时间 采用CMOS技术设计的数字电路通常将电源摆幅的中间值作为切换点。因此,时间参考点定在信号边沿的中点。图1波形标明了器件在典型条件下的建立和保持时间。注意此时定义的这两个参数均为正值,但在建立或保持时间出现负值时将会令人迷惑不解。 MAX5891 600Msps、16位DAC为这一中间值状态提供了很好的学习实例。该器件的建立时间为-1.5ns,而保持时间为2.6ns。图2给出MAX5891的最小建立时间。注意,实际应用中,数据通常在采样时钟跳变后发生变化。图3给出了相同器件的最小保持时间。

数模及模数转换器习题解答

数模及模数转换器习题解答

————————————————————————————————作者: ————————————————————————————————日期: ?

自我检测题 1.就实质而言,D/A转换器类似于译码器,A/D 转换器类似于编码器。 2.电压比较器相当于1位A/D 转换器。 3.A/D 转换的过程可分为 采样 、保持、量化、编码4个步骤。 4.就逐次逼近型和双积分型两种A /D 转换器而言, 双积分型 的抗干扰能力强, 逐次逼近型 的转换速度快。 5.A/D转换器两个最重要的指标是分辨率和转换速度。 6.8位D /A 转换器当输入数字量只有最低位为1时,输出电压为0.02V ,若输入数字量只有最高位为1时,则输出电压为 V 。 A.0.039 B .2.56 C .1.27 D .都不是 7.D/A 转换器的主要参数有 、转换精度和转换速度。 A .分辨率 B .输入电阻 C .输出电阻 D.参考电压 8.图T7.8所示R-2R网络型D/A 转换器的转换公式为 。 R R R I V REF 2R 2R 2R 2R 2R S 3 S 2 S 1 S 0 D 3 D 2 D 1 D 0 R F =R A + -v O i ∑ 图T 7.8 A .∑ =?- =3 3 REF o 22 i i i D V v ??B .∑=?- =3 4 REF o 2 232i i i D V v ??C .∑=?- =3 4 REF o 2 2 i i i D V v ??D .∑=?= 3 4 REF o 2 2 i i i D V v 9.D/A 转换器可能存在哪几种转换误差?试分析误差的特点及其产生误差的原因。 解:D/A 转换器的转换误差是一个综合性的静态性能指标,通常以偏移误差、增益误差、非线性误差等内容来描述转换误差。 偏移误差是指D/A转换器输出模拟量的实际起始数值与理想起始数值之差。 增益误差是指实际转换特性曲线的斜率与理想特性曲线的斜率的偏差。 D/A 转换器实际的包络线与两端点间的直线比较仍可能存在误差,这种误差称为非线性误差。 10.比较权电阻型、R -2R 网络型、权电流型等D/A 转换器的特点,结合制造工

什么是DAC(数模转换器)

什么是DAC(数模转换器) 随着数字技术,特别是计算机技术的飞速发展与普及,在现代控制、通信及检测等领域,为了提高系统的性能指标,对信号的处理广泛采用了数字计算机技术。由于系统的实际对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别、处理这些信号,必须首先将这些模 拟信号转换成数字信号;而经计算机分析、处理后输出的数字量也往往需要将其转换为相应模拟信号才能为执行机构所接受。这样,就需要一种能在模拟信号与数字信号之间起桥梁作用的电路--模数和数模转换器。将模拟信号转换 成数字信号的电路,称为模数转换器(简称A/D 转换器或ADC,Analog to DigitalConverter);将数字信号转换为模拟信号的电路称为数模转换器(简称 D/A 转换器或DAC,Digital toAnalog Converter);A/D 转换器和D/A 转换器已成为计算机系统中不可缺少的接口电路。为确保系统处理结果的精确度,A/D 转换器和D/A 转换器必须具有足够的转换精度;如果要实现快速变化信号的实时控制与检测,A/D 与D/A 转换器还要求具有较高的转换速度。转换精度与转换速度是衡量A/D 与D/A 转换器的重要技术指标。随着集成技术的发展,现 已研制和生产出许多单片的和混合集成型的A/D 和D/A 转换器,它们具有愈 来愈先进的技术指标。本章将介绍几种常用A/D 与D/A 转换器的电路结构、 工作原理及其应用。数模(D/A)转换器转换原理数字量是用代码按数位组合起来表示的,对于有权码,每位代码都有一定的位权。为了将数字量转换成模拟量,必须将每1 位的代码按其位权的大小转换成相应的模拟量,然后将这些模拟量相加,即可得到与数字量成正比的总模拟量,从而实现了数字—模拟转换。这就是组成D/A 转换器的基本指导思想。图11.1.1 表示了4 位二进制数字量与经过D/A 转换后输出的电压模拟量之间的对应关系。由图11.1.1 还可

AD76816高速数模转换器(中文)

AD768 16-Bit 高速数模转换器 特性 刷新率:30 MSPS 分辨率:16-Bit 线性度: 1/2 LSB DNL @ 14 Bits 1 LSB INL @ 14 Bits 最快建立时间: 满量程25 ns ,精度0.025% SFDR @ 1 MHz 输出: 86 dBc THD @ 1 MHz 输出: 71 dBc 低干扰脉冲: 35 pV-s 功率消耗: 465 mW 片上基准源:2.5 V 边沿触发锁存器 乘法参考能力 应用 任意波形发生器 通信波形重建 矢量图形显示 产品描述 AD768是16-Bit高速数模转换器(DAC)提供优良的交流和直流性能。AD768是ADI公司的先进双极CMOS制造(abcmos)处理,结合双极晶体管的速度,激光微调薄膜电阻的精度和有效CMOS逻辑。一个分段电流源架构与专有开关技术相结合,以减少毛刺能量来获得最大化的动态精度。边沿触发输入锁存器和一个温度补偿的带隙基准源已集成,提供一个完整的单片DAC解决方案。 AD768是电流输出DAC标称满量程输出电流20mA和一个1K 的输出阻抗。差分电流输出提供支持单端或差分应用。电流输出可以绑接输出电阻提供电压输出,或连接到高速放大器的求和点提供一个缓冲电压输出。同时,差分输出可以连接到变压器或差分放大器。 片上基准源和控制放大器配置为最大的准确性和灵活性。AD768可以通过芯片上的基准源或由一个外部基准电压基于一个外部电阻的选择驱动。外部电容器允许用户优化变换参考带宽和噪声性能。 AD768采用±5 V电源运行,典型的消耗功率465毫瓦。该芯片采用28引脚SOIC封装,规定工作在工业温度范围。

第九章:数模和模数转换器

第九章:数模和模数转换器 一、单选题 1:想选一个中等速度,价格低廉的A/D转换器,下面符合条件的是()。 A 逐次逼近型 B 双积分型 C 并联比较型 D 不能确定 2:下面抑制电网公频干扰能力强的A/D转换器是()。 A 逐次逼近型 B 双积分型 C 并联比较型 D 不能确定 3:不适合对高频信号进行A/D转换的是()。 A 并联比较型 B 逐次逼近型 C 双积分型 D 不能确定 4:四位DAC和八位DAC的输出最小电压一样大,那么他们的最大输出电压()。 A 一样大 B 前者大于后者 C 后者大于前者 D 不确定 5:四位权电阻DAC和四位R—2R倒T型DAC在参数一样的条件下最大输出电压()。 A 一样大 B 前者大于后者 C 后者大于前者 D 不确定 6:四位权电阻DAC和四位R—2R倒T型DAC在参数一样的条件下分辨率()。 A 一样大 B 前者大于后者 C 后者大于前者 D 不确定 7:下列A/D转换器类型中,相同转换位数转换速度最高的是()。 A 并联比较型 B 逐次逼近型 C 双积分型 D 不能确定 8.一个无符号8位数字量输入的DAC,其分辨率为位。 A.1 B.3 C.4 D.8 9.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为。 A.采样 B.量化 C.保持 D.编码 10.以下四种转换器,是A/D转换器且转换速度最高。 A.并联比较型 B.逐次逼近型 C.双积分型 D.施密特触发器 二、判断题 1:D/A转换器的建立时间等于数字信号由全零变全1或由全1变全0所需要的时间。()2:D/A转换器的转换精度等于D/A转换器的分辨率。() 3:采用四舍五入量化误差分析时,A/D转换过程中最小量化单位与量化误差是相等的。() 4:在A/D转换过程中量化误差是可以避免的。() 5:由于R-2R 倒T 型D/A转换器自身的优点,其应用比权电阻DAC广泛。() 6:倒T型网络D/A转换器由于支路电流不变,所以不需要建立时间。() 7:A/D转换的分辨率是指输出数字量中只有最低有效位为1时所需的模拟电压输入值。() 8.权电阻网络D/A转换器的电路简单且便于集成工艺制造,因此被广泛使用。()9.D/A转换器的最大输出电压的绝对值可达到基准电压V REF。()

可编程双路12位数模转换器TLC5618

可编程双路12位数模转换器TLC5618及其C51高 级语言编程 2007-1-19 来源:阅读:799次我要收藏 1概述 1.1一般说明 TLC5618是美国TexasInstruments公司生产的带有缓冲基准输入的可编程双路12位数/模转换器。DAC输出电压范围为基准电压的两倍,且其输出是单调变化的。该器件使用简单,用5V单电源工作,并包含上电复位功能以确保可重复启动。 通过CMOS兼容的3线串行总线可对TLC5618实现数字控制。器件接收用于编程的16位字产生模拟输出。数字输入端的特点是带有斯密特触发器,因而具有高的噪声抑制能力。 1.2特点 (1)可编程至0.5LSB的建立时间; (2)两个12位的CMOS电压输出DAC; (3)单电源工作; (4)3线串行接口; (5)高阻抗基准输入; (6)电压输出范围为基准电压的两倍; (7)软件断电方式; (8)内部上电复位; (9)低功耗,慢速方式为3mW,快速方式为8mW; (10)1.21MHz输入数据更新速率; (11)在工作温度范围内单调变化。 1.3引脚排列与引脚功能 TLC5618的引脚排列如图1所示,各个引脚的功能如下所述: (1)DIN(1):数据输入; (2)SCLK(2):串行时钟输入; (3)CS(3):芯片选择,低电平有效;

(4)OUTA(4):DACA模拟输出; (5)AGND(5):模拟地; (6)REFIN(6):基准电压输入; (7)OUTB(7):DACB模拟输出; (8)VDD(8):正电源。 图1TLC5618 的引脚排列 图2TLC5618的典型运用电路 2应用介绍 2.1一般功能 TLC5618使用由运放缓冲的电阻串网络把12位数字数据转换为模拟电压电平(见图2),其输出极性与基准电压输入相同(见表1)。 表1二进制代码表(0V至2VREFIN输出,增益=2) 输入+输出111111111111 2(VREFIN)4095/4096 … … 100000000001 2(VREFIN)2049/4096 对全部高中资料试卷电气设备,在安装过程中以及安装结束后

7数模及模数转换器习题解答

7数模及模数转换器习题解答119 自我检测题 1.就实质而言,D/A转换器类似于译码器,A/D转换器类似于编码器。 2.电压比较器相当于1位A/D转换器。 3.A/D转换的过程可分为采样、保持、量化、编码4个步骤。 4.就逐次逼近型和双积分型两种A/D转换器而言,双积分型的抗干扰能力强,逐次逼近型的转换速度快。 5.A/D 6.8位D/A转换器当输入数字量只有最低位为1时,输出电压为0.02V,若输入数字量只有最高位为1时,则输出电压为V。 A.0.039 B.2.56 C.1.27 D.都不是 7.D/A转换器的主要参数有、转换精度和转换速度。 A.分辨率B.输入电阻C.输出电阻D.参考电压 8.图T7.8所示R-2R网络型D/A转换器的转换公式为。 V REF v O 图T7.8 A.∑ = ? - = 3 3 REF o 2 2i i i D V v B.∑ = ? - = 3 4 REF o 2 2 3 2 i i i D V v D.∑ = ? = 3 4 REF o 2 2i i i D V v 9.D/A转换器可能存在哪几种转换误差?试分析误差的特点及其产生误差的原因。 解:D/A转换器的转换误差是一个综合性的静态性能指标,通常以偏移误差、增益误差、非线性误差等内容来描述转换误差。 偏移误差是指D/A转换器输出模拟量的实际起始数值与理想起始数值之差。 增益误差是指实际转换特性曲线的斜率与理想特性曲线的斜率的偏差。 D/A转换器实际的包络线与两端点间的直线比较仍可能存在误差,这种误差称为非线性误差。 10.比较权电阻型、R-2R网络型、权电流型等D/A转换器的特点,结合制造工艺、转换的精度和转换的速度等方面比较。

第8章-数模和模数转换习题解答

思考题与习题 8-1 选择题 1)一输入为十位二进制(n=10)的倒T 型电阻网络DAC 电路中,基准电压REF V 提供电流为 b 。 A. R V 10REF 2 B. R V 10REF 22? C. R V REF D. R V i )2(REF ∑ 2)权电阻网络DAC 电路最小输出电压是 b 。 A. LSB 21V B. LSB V C. MSB V D. MSB 2 1V 3)在D/A 转换电路中,输出模拟电压数值与输入的数字量之间 a 关系。 A.成正比 B. 成反比 C. 无 4)ADC 的量化单位为S ,用舍尾取整法对采样值量化,则其量化误差m ax ε= b 。 A.0.5 S B. 1 S C. 1.5 S D. 2 S 5)在D/A 转换电路中,当输入全部为“0”时,输出电压等于 b 。 A.电源电压 B. 0 C. 基准电压 6)在D/A 转换电路中,数字量的位数越多,分辨输出最小电压的能力 c 。 A.越稳定 B. 越弱 C. 越强 7)在A/D 转换电路中,输出数字量与输入的模拟电压之间 a 关系。 A.成正比 B. 成反比 C. 无 8)集成ADC0809可以锁存 8 模拟信号。 A.4路 B. 8路 C. 10路 D. 16路 5)双积分型ADC 的缺点是 a 。 A.转换速度较慢 B. 转换时间不固定 C. 对元件稳定性要求较高 D. 电路较复杂 8-2 填空题 1)理想的DAC 转换特性应是使输出模拟量与输入数字量成__正比__。转换精度是指DAC 输出的实际值和理论值__之差_。 2)将模拟量转换为数字量,采用 __A/D__ 转换器,将数字量转换为模拟量,采用__D/A_____ 转换器。 3)A/D 转换器的转换过程,可分为采样、保持及 量化 和 编码 4个步骤。 4)A/D 转换电路的量化单位位S ,用四舍五入法对采样值量化,则其m ax ε= 0.5s 。 5)在D/A 转换器的分辨率越高,分辨 最小输出模拟量 的能力越强;A/D 转换器的分辨率越高,分辨 最小输入模拟量 的能力越强。 6)A/D 转换过程中,量化误差是指 1个LSB 的输出变所对应的模拟量的范围 ,量化误差是 不可 消除的。

低功耗满幅输出12位串行数模转换器DAC7512及其应

低功耗满幅输出12位串行数模转换器 DAC7512及其应 低功耗满幅输出12位串行数模转换器DAC7512及其应 DAC7512是TI公司生产的具有内置缓冲放大器的低功耗单片12位数模转换器。其片内高精度的输出放大器可获得满幅(供电电源电压与地电压间)任意输出。DAC7512带有一个时钟达30MHz的通用三线串行接口,因而可接入高速DSP。其接口与SPI、QSPI、Microwire及DSP接口兼容,因而可与intel系列单片机、Motorola系列单片机直接连接而无需任何其它接口电路。由于DAC7512串行数模转换器可选择供电电源来作为参考电压,因而具有很宽的动态输出范围,此外,DAC7512数模转换器还具有三种关断工作模式。正常工作状态下,DAC7512在5V电压下的功耗仅为0.7mW,而省电状态下的功耗为1μW。因此,低功耗的DAC7512无疑是便携式电池供电设备的理想器件。1主要特性DAC7512的主要特点如下:●微功耗,5V时的工作电流消耗为135μA(DAC7512);●在掉电模式时,如果采用5V电源供电,其电流消耗为135nA,而采用3V供电时,其电流消耗仅为50nA;●供电电压范围为

+2.7V~+5.5V;●上电输出复位后输出为0V;●具有三种关断工作模式可供选择,5V电压下的功耗仅为0.7mW;●带有低功耗施密特输入串行接口;●内置满幅输出的缓冲放大器;●具有SYNC中断保护机制。2引脚功能采用SOT23-5封装的DAC7512的引脚排列如图1所示。其引脚定义如下:VOUT:芯片模拟输出电压;GND:器件内所有电路的地参考点;VDD:供电电源,直流+2.7V~+5.5V;DIN:串行数据输入;SCLK:串行时钟输入;SYNC:输入控制信号(低电平有效)。3内部结构DAC7512的组成框图如图2所示。图中的输入控制逻辑用于控制DAC寄存器的写操作,掉电控制逻辑与电阻网络一起用来设置器件的工作模式,即选择正常输出还是把输出端与缓冲放大器断开,而接入固定电阻。芯片内的缓冲放大器具有满幅输出特性,可驱动2kΩ及1000pF的并联负载。4接口工作模式DAC7512采用三线制(SYNC,SCLK及DIN)串行接口,其串行写操作时序如图3所示。写操作开始前,SYNC要置低,DIN的数据在串行时钟SCLK的下降沿依次移入16位寄存器。在串行时钟的第16个下降沿到来时,将最后一位移入寄存器,可实现对工作模式的设置及DAC内容的刷新,从而完成一个写周期的操作。此时,SYNC

AD精选高速数模转换器中文

AD76816-Bit高速数模转换器 特性 刷新率:30MSPS 分辨率:16-Bit 线性度:1/2LSBDNL@14Bits 1LSBINL@14Bits 最快建立时间: 满量程25ns,精度0.025% SFDR@1MHz 输出:86dBc THD@1MHz 输出:71dBc 低干扰脉冲:35pV-s 功率消耗:465mW 片上基准源:2.5V 边沿触发锁存器 乘法参考能力 应用 任意波形发生器 通信波形重建矢量图形显示 产品描述 AD768是16-Bit高速数模转换器(DAC )提供优良的交流和直流性能。AD768是ADI公司的先进双极CMOS制造(abcmos )处理,结合双极晶体管的速度,激光微调薄膜电阻的精度和有效CMOS逻辑。一个分段电流源架构与专有开关技术相结合,以减少毛刺能量来获得最大化的动态精度。边沿触发输入锁存器和一个温度补偿的带隙基准源已集成,提供一个完整的单片DAC解决方案。 AD768是电流输出DAC标称满量程输出电流20mA和一个1K :的输出阻抗。差分电流输出提供支持单端或差分应用。电流输出可以绑接输出电阻提供电压输出,或连接到高速放大器的求和点提供一个缓冲电压输出。同时,差分输出可以连接到变压器或差分放大器。 片上基准源和控制放大器配置为最大的准确性和灵活性。AD768可以通过芯片上的基准源 或由一个外部基准电压基于一个外部电阻的选择驱动。外部电容器允许用户优化变换参考带宽和噪声性能。 AD768采用土5V电源运行,典型的消耗功率465毫瓦。该芯片采用28引脚SOIC封装,规定 工作在工业温度范围。 产品亮点 1、低干扰和快速建立时间提供杰出的波形重建或数字动态性能合成的要求,包括通信。

数模与模数转换器 习题与参考答案

第11章 数模与模数转换器 习题与参考答案 【题11-1】 反相运算放大器如图题11-1所示,其输入电压为10mV ,试计算其输出电压V O 。 图题11-1 解:输出电压为: mV mV V R R V IN F O 10010101 =?=-= 【题11-2】 同相运算放大器如图题11-2所示,其输入电压为10 mV ,试计算其输出电压V O 。 图题11-2 解:mV mV V R R V IN F O 110101111 =?=+=)( 【题11-3】 图题11-3所示的是权电阻D/A 转换器与其输入数字信号列表,若数字1代表5V ,数字0代表0V ,试计算D/A 转换器输出电压V O 。 11-3 【题11-4】 试计算图题11-4所示电路的输出电压V O 。 图题11-4 解:由图可知,D 3~D 0=0101 因此输出电压为:V V V V O 5625.151650101254 === )( 【题11-5】 8位输出电压型R/2R 电阻网络D/A 转换器的参考电压为5V ,若数字输入为,该转换器输出电压V O 是多少?

解:V V V V O 988.21532565100110012 58≈== )( 【题11-6】 试计算图题11-6所示电路的输出电压V O 。 图题11-6 解:V V V D D V V n n REF O 5625.1516501012 5~240==-=-=)()( 【题11-7】 试分析图题11-7所示电路的工作原理。若是输入电压V IN =,D 3~D 0是多少? 图题11-7 解:D3=1时,V V V O 6221234== ,D3=0时,V O =0。 D2=1时,V V V O 3221224== ,D2=0时,V O =0。 D1=1时,V V V O 5.1221214== ,D1=0时,V O =0。 D0=1时,V V V O 75.0221204 ==,D0=0时,V O =0 由此可知:输入电压为,D3~D0=1101,这时V O =6V++=,大于输入电压V IN =,比较器输出低电平,使与非门74LS00封锁时钟脉冲CLK ,74LS293停止计数。 【题11-8】 满度电压为5V 的8位D/A 转换器,其台阶电压是多少?分辨率是多少? 解:台阶电压为mV mV V STEP 5.192/50008== 分辨率为:%39.00039.05000/5.195000/===mV V STEP

解读高速数模转换器(DAC)的建立和保持时间

解读高速数/模转换器(DAC)的建立和保持时间 摘要:本应用笔记定义了高速数/模转换器(D AC)的建立和保持时间,并给出了相应的图例。高速D AC的这两个参数通常定义为“正、负”值,了解它们与数据瞬态特性之间的关系是一个难点,为了解决这些难题,本文提供了一些图例。 介绍 为了达到高速数/模转换器(DAC)的最佳性能,需要严格满足数字信号的时序要求。随着时钟频率的提高,数字接口的建立和保持时间成为系统设计人员需要重点关注的参数。本应用笔记对建立和保持时间进行详尽说明,因为这些参数与Maxim的高性能数据转换方案密切相关。 定义建立和保持时间 建立时间(t S)是相对于DAC时钟跳变,数据必须达到有效的逻辑电平的时间。保持时间(t H)则定义了器件捕获/采样数据后允许数据发生变化的时间。图1给出了相对于时钟上升沿的建立和保持时间。特定器件的时钟信号有效边沿可能是上升/下降沿,或由用户选择,例如MAX5895 16位、500Msps、插值和调制双通道DAC,CMOS输入。 图1. 相对于时钟信号上升沿的建立和保持时间 采用CMOS技术设计的数字电路通常将电源摆幅的中间值作为切换点。因此,时间参考点定在信号边沿的中点。图1波形标明了器件在典型条件下的建立和保持时间。注意此时定义的这两个参数均为正值,但在建立或保持时间出现负值时将会令人迷惑不解。 MAX5891 600Msps、16位DAC为这一中间值状态提供了很好的学习实例。该器件的建立时间为-1.5ns,而保持时间为2.6ns。图2给出MAX5891的最小建立时间。注意,实际应用中,数据通常在采样时钟跳变后发生变化。图3给出了相同器件的最小保持时间。 图2. MAX5891的最小建立时间

12位TLC2543模数转换总结

TLC2543模数转换总结 ——电信102 龙树东 芯片特性说明 TLC2543是TI公司的12位串行模数转换器,使用开关电容逐次逼近技术完成A/D转换过程。由于是串行输入结构,能够节省51系列单片机I/O资源;且价格适中,分辨率较高,因此在仪器仪表中有较为广泛的应用。 TLC2543的特点 (1)12位分辩率A/D转换器; (2)在工作温度范围内10μs转换时间; (3)11个模拟输入通道; (4)3路内置自测试方式; (5)采样率为66kbps; (6)线性误差±1LSBmax; (7)有转换结束输出EOC; (8)具有单、双极性输出; (9)可编程的MSB或LSB前导; (10)可编程输出数据长度。 TLC2543的引脚排列及说明 TLC2543有两种封装形式:DB、DW或N封装以及FN

封装,这两种封装的引脚排列如图1,引脚说明见表1。 图1TLC2543的封装 接口时序 可以用四种传输方法使TLC2543得到全12位分辩率,每次转换和数据传递可以使用12或16个时钟周期。 一个片选()脉冲要插到每次转换的开始处,或是在 转换时序的开始处变化一次后保持为低,直到时序结束。 图2显示每次转换和数据传递使用16个时钟周期和在每次传递周期之间插入的时序,图3显示每次转换和数据传递使用16个时钟周期,仅在每次转换序列开始处插入一次时序。

片选端。在端由高变低时, 端。为高时处于高阻抗状态,为低时处于激活状态

表1T L C2543引脚说明

图2 16时钟传送时序图(使用,M S B在前) 图3 16时钟传送时序图(不使用,M S B在前)制作过程件 硬件:

数模与模数转换

第8章数模与模数转换 随着科学技术的迅速发展,尤其是在自动控制、自动检测通信等领域中,广泛采用数字电子计算机处理各种模拟信号,这样,必须先把这些模拟信号转换成相应的数字信号,计算机系统才能进行分析、处理,处理后的数字信号还需再转换为模拟信号才能实现对执行机构的控制。从模拟信号到数字信号的转换称为模—数转换,简写为A/D。把能完成A/D转换功能的电路称为模数转换器,简称为ADC(Analog to Digital Converter)。从数字信号到模拟信号的转换称为数—模转换,简写为D/A,把能完成D/A转换功能的电路称为数模转换器,简称DAC(Digital to Analog Converter)。模拟信号和数字信号之间的转换可用图8-1所示,由此可见,ADC和DAC就是连接模拟系统和数字系统的“桥梁”—接口电路。 图8-1 模拟信号与数字信号的转换过程 8.1 数模转换 数模转换的基本思想是,把数字量中的每一位代码按对应权的大小转换成相应的模拟量,这些模拟量之和与数字量成正比。 数模转换器由输入寄存器、电子模拟开关、解码网络、基准电压源和求和电路组成,其组成的方框图如图8-2所示。 图8-2 DAC构成框图 DAC电路的工作过程为:数字量以并行或串行方式输入并存储在输入寄存器中,寄存器输出的每位数码驱动对应数位上的电子模拟开关,解码网络就能获得相应的模拟量,再将这些模拟量送到求和电路相加即得到与数字量相对应的模拟量。 数模转换器按解码网络结构分为T形及倒T形电阻网络D/A转换器,权电阻网络D/A 转换器,权电流D/A转换器等。按模拟开关电路的不同可分为CMOS开关型和双极开关型D/A转换器,下面介绍常见的两种即倒T形电阻网络型和权电流型D/A转换器。 8.1.1 倒T形电阻网络D/A转换器

数模转换电路

数模转换电路 一、概述 数模转换就是将离散的数字量转换为连接变化的模拟量,实现该功能的电路或器件称为数模转换电路,通常称为D/A转换器DAC。 二、D/A转换器的基本原理 基本原理:将输入的每一位二进制代码按其权的大小转换成相应的模拟量,然后将代表各位的模拟量相加,所得的总模拟量就与数字量成正比,这样便实现了从数字量到模拟量的转换。这就是构成D/A转换器的基本思路。D/A转换器由数码寄存器、模拟电子开关电路、解码网络、求和电路及基准电压几部分组成。数字量以串行或并行方式输入、存储于数码寄存器中,数字寄存器输出的各位数码,分别控制对应位的模拟电子开关,使数码为1的位在位权网络上产生与其权值成正比的电流值,再由求和电路将各种权值相加,即得到数字量对应的模拟量。 1、数模转换器的转换方式 (1)并行数模转换 通过一个模拟量参考电压和一个电阻梯形网络产生以参考量为基准的分数值的权电流或权电压;而用由数码输入量控制的一组开关决定哪一些电流或电压相加起来形成输出量。所谓“权”,就是二进制数的每一位所代表的值。例如三位二进制数“111“,右边第1位的“权”是 20/23=1/8;第2位是21/23=1/4;第3位是22/23=1/2。位数多的依次类推。图2为这种三位数模转换器的基本电路,参考电压VREF在R1、R2、R3中产生二进制权电流,电流通过开关。当该位的值是“0”时,与地接通;当该位的值是“1”时,与输出相加母线接通。几路电流之和经过反馈电阻Rf产生输出电压。电压极性与参考量相反。输入端的数字量每变化1,仅引起输出相对量变化1/23=1/8,此值称为数模转换器的分辨率。位数越多分辨率就越高,转换的精度也越高。工业自动控制系统采用的数模转换器大多是10位、12位,转换精度达0.5~0.1%。 (2)串行数模转换 将数字量转换成脉冲序列的数目,一个脉冲相当于数字量的一个单位,然后将每个脉冲变为单位模拟量,并将所有的单位模拟量相加,就得到与数字量成正比的模拟量输出,从而实现数字量与模拟量的转换。 三、D/A转换器的分类 1、电压输出型 电流输出型DA转换器很少直接利用电流输出,大多外接电流—电压转换电路得到电压输出,后者有两种方法:一是只在输出引脚上接负载电阻而进行电流—电压转换,二是外接运算放大器。用负载电阻进行电流—电压转换的方法,虽可在电流输出引脚上出现电压,但必须在规定的输出电压范围内使用,而且由于输出阻抗高,所以一般外接运算放大器使用。此外,大部分CMOS D/A转换器当输出电压不为零时不能正确动作,所以必须外接运算放大器。当外接运算放大器进行电流电压转换时,则电路构成基本上与内置放大器的电压输出型相同,这时由于在DA转换器的电流建立时间上加入了运算放大器的延迟,使响应变慢。此外,这种电路中运算放大器因输出引脚的内部电容而容易起振,有时必须作相位补偿。 2、乘算型 D/A转换器中有使用恒定基准电压的,也有在基准电压输入上加交流信号的,后者由于能得到数字输入和基准电压输入相乘的结果而输出,因而称为乘算型DA转换器。乘算型DA转换器一般不仅可以进行乘法运算,而且可以作为使输入信号数字化地衰减的衰减器及对输入信号进行调制的调制器使用。 四、D/A转换器的主要性能指标 1、分辨率 指最小输出电压(对应的输入数字量只有最低有效位为“1”)与最大输出电压(对应的输入数字量所有有效位全为“1”)之比。如N位D/A转换器,其分辨率为1/(2N-1)。 2、转换精度 D/A转换器的转换精度与D/A转换器的集成芯片的结构和接口电路配置有关。如果不考虑其他D/A 转换误差时,D/A的转换精度就是分辨率的大小,因此要获得高精度的D/A转换结果,首先要保证选择有足够分辨率的D/A转换器。同时D/A转换精度还与外接电路的配置有关,当外部电路器件或电源误差较大时,会造成较大的D/A转换误差,当这些误差超过一定程度时,D/A转换就产生错误。在D/A 转换过程中,影响转换精度的主要因素有失调误差、增益误差、非线性误差和微分非线性误差。 3、编辑本段温度系数 在满刻度输出的条件下,温度每升高1℃,输出变化的百分数定义为温度系数。 4、失调误差(或称零点误差)

模数与数模转换

3. 模数转换器 (1) 模/数(A/D )转换器 A/D 转换器是模拟信号源与计算机或其它数字系统之间联系的桥梁,它的任务是将连续变化的模拟信号转换为数字信号,以便计算机或数字系统进行处理、存储、控制和显示。在工业控制和数据采集及其它领域中,A/D 转换器是不可缺少的重要组成部分。 1) 逐次逼近型A/D 转换器 逐次逼近型A/D 转换器又称逐次渐近型A/D 转换器,是一种反馈比较型A/D 转换器。逐次逼近型A/D 转换器进行转换的过程类似于天平称物体重量的过程。天平的一端放着被称的物体,另一端加砝码,各砝码的重量按二进制关系设置,一个比一个重量减半。称重时,把砝码从大到小依次放在天平上,与被称物体比较,如砝码不如物体重,则该砝码予以保留,反之去掉该砝码,多次试探,经天平比较加以取舍,直到天平基本平衡称出物体的重量为止。这样就以一系列二进制码的重量之和表示了被称物体的重量。例如设物体重11克,砝码的重量分别为1克、2克、4克和8克。称重时,物体天平的一端,在另一端先将8克的砝码放上,它比物体轻,该砝码予以保留(记为1),我们将被保留的砝码记为1,不被保留的砝码记为0。然后再将4克的砝码放上,现在砝码总和比物体重了,该砝码不予保留(记为0),依次类推,我们得到的物体重量用二进制数表示为1011。用下表7.1表示整个称重过程。 表7.1 逐次逼近法称重物体过程表 图7.7 逐次逼近型A/D 转换器方框图 利用上述天平称物体重量的原理可构成逐次逼近型A/D 转换器。 逐次逼近型A/D 转换器的结构框图如图7.7所示,包括四个部分:电压比较器、D/A 转换器、逐次逼近寄存器和顺序脉冲发生器及相应的控制逻辑。 逐次逼近型A/D 转换器是将大小不同的参考电压与输入模拟电压逐步进行比较,比较结果以相应的二进制代码表示。转换开始前先将寄存器清零,即送给D /A 转换器的数字量为0,三个输出门G 7、G 8、G 9被封锁,没有输出。转换控制信号有效后(为高电平)开始转换,在时钟脉冲作用下,顺序脉冲发生器发出一系列节拍脉冲,寄存器受顺序脉冲发生器及控制电路的控制,逐位改变其中的数码。首先控制逻辑将寄存器的最高位置为1,使其输出为100……00。这个数码被D/A 转换器转换成相应的模拟电压U o ,送到比较器与待转换的输入模拟电压U i 进行比较。若U o >U i ,说明寄存器输出数码过大,故将最高位的1变成0,同时将次高位置1;若U o ≤U i ,说明寄存器输出数码还不够大,则应将这一位的1 保留。数码的取舍通过电压比较器的输出经控制器来完成的。依次类推按上述方法将下一位置1进行比较确定该位的1是否保留,直到最低位为止。此时寄存器里保留下来的数码即为所求的输出数字量。 2) 并联比较型A/D 转换器 并联比较型A/D 转换器是一种高速A/D 转换器。图8-9所示是3位并联型A/D 转换器,

数模和模数转换

一、选择题 1.一个无符号8位数字量输入的DAC,其分辨率为位。 A.1 B.3 C.4 D.8 2.一个无符号10位数字输入的DAC,其输出电平的级数为。 10 A.4 B.10 C.1024 D.2 3.一个无符号4位权电阻DAC,最低位处的电阻为40KΩ,则最高位处电阻为。 A.4KΩ B.5KΩ C.10KΩ D.20KΩ 4.4位倒T型电阻网络DAC的电阻网络的电阻取值有种。 A.1 B.2 C.4 D.8 5.为使采样输出信号不失真地代表输入模拟信号,采样频率≥ B. ≤ C. ≥2 D. ≤2 和输入模拟信号的最高频率的关系是。 A. 6.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为。 A.采样 B.量化 C.保持 D.编码 7.用二进制码表示指定离散电平的过程称为。 A.采样 B.量化 C.保持 D.编码 8.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为。 A.采样 B.量化 C.保持 D.编码 9.若某ADC取量化单位△=,并规定对于输入电压,在0≤<时,认为输入的模拟电压为0V,输出的二进制数为000,则≤<时,输出的二进制数为。 A.001 B.101 C.110 D.111 10.以下四种转换器,是A/D转换器且转换速度最高。 A.并联比较型 B.逐次逼近型 C.双积分型 D.施密特触发器 二、判断题(正确打√,错误的打×)

1.权电阻网络D/A转换器的电路简单且便于集成工艺制造,因此被广泛使用。() 2.D/A转换器的最大输出电压的绝对值可达到基准电压V REF。() 3.D/A转换器的位数越多,能够分辨的最小输出电压变化量就越小。() 4.D/A转换器的位数越多,转换精度越高。() 5.A/D转换器的二进制数的位数越多,量化单位△越小。()6.A/D转换过程中,必然会出现量化误差。() 7.A/D转换器的二进制数的位数越多,量化级分得越多,量化误差就可以减小到0。() 8.一个N位逐次逼近型A/D转换器完成一次转换要进行N次比较,需要N+2个时钟脉冲。() 9.双积分型A/D转换器的转换精度高、抗干扰能力强,因此常用于数字式仪表中。() 10.采样定理的规定,是为了能不失真地恢复原模拟信号,而又不使电路过于复杂。() 三、填空题 1.将模拟信号转换为数字信号,需要经过、、、四个过程。 答案: 一、选择题 1. D 2. CD 3. B 4. B 5. C 6. A 7. D 8. B

数模转换器的选用

数模转换器的选用

————————————————————————————————作者:————————————————————————————————日期:

数模转换器的选用 随着数字技术,特别是计算机技术的飞速发展与普及,在现代控制、通信及检测等领域,为了提高系统的性能指标,对信号的处理广泛采用了数字计算机技术。由于系统的实际对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别、处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析、处理后输出的数字量也往往需要将其转换为相应模拟信号才能为执行机构所接受。这样,就需要一种能在模拟信号与数字信号之间起桥梁作用的电路--模数和数模转换器。

为确保系统处理结果的精确度,A/D转换器和D/A转换器必须具有足够的转换精度;如果要实现快速变化信号的实时控制与检测,A/D与D/A转换器还要求具有较高的转换速度。转换精度与转换速度是衡量A/D与D/A转换器的重要技术指标。随着集成技术的发展,现已研制和生产出许多单片的和混合集成型的A/D和D/A转换器,它们具有愈来愈先进的技术指标。 如果CCD的质量能够满足一定色彩位数的要求,为了获得相应的输出效果,就要求有相应位数的数模转换实现数据采样,才能获得满意的效果,如果CCD可以实现36位精度,却使用了三个8位的数模转换器,结果输出出来就只剩下24位的数据精度了,这对于CCD是一种浪费,而如果使用三个16位的数模转换器,是实现了48位的数据输出,但效果与36位比较并无改善,对数模转换器就是一种浪费了。 1. 数模转换器是将数字信号转换为模拟信号的系统,一般用低通滤波即可以实现。数字信号先进行解码,即把数字码转换成与之对应的电平,形成阶梯状信号,然后进行低通滤波。

数模转换器的选用

数模转换器的选用 随着数字技术,特别是计算机技术的飞速发展与普及,在现代控制、通信及检测等领域,为了提高系统的性能指标,对信号的处理广泛采用了数字计算机技术。由于系统的实际对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别、处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析、处理后输出的数字量也往往需要将其转换为相应模拟信号才能为执行机构所接受。这样,就需要一种能在模拟信号与数字信号之间起桥梁作用的电路--模数和数模转换器。

为确保系统处理结果的精确度,A/D转换器和D/A转换器必须具有足够的转换精度;如果要实现快速变化信号的实时控制与检测,A/D与D/A转换器还要求具有较高的转换速度。转换精度与转换速度是衡量A/D与D/A转换器的重要技术指标。随着集成技术的发展,现已研制和生产出许多单片的和混合集成型的A/D和D/A转换器,它们具有愈来愈先进的技术指标。 如果CCD的质量能够满足一定色彩位数的要求,为了获得相应的输出效果,就要求有相应位数的数模转换实现数据采样,才能获得满意的效果,如果CCD可以实现36位精度,却使用了三个8位的数模转换器,结果输出出来就只剩下24位的数据精度了,这对于CCD是一种浪费,而如果使用三个16位的数模转换器,是实现了48位的数据输出,但效果与36位比较并无改善,对数模转换器就是一种浪费了。 1. 数模转换器是将数字信号转换为模拟信号的系统,一般用低通滤波即可以实现。数字信号先进行解码,即把数字码转换成与之对应的电平,形成阶梯状信号,然后进行低通滤波。

根据信号与系统的理论,数字阶梯状信号可以看作理想冲激采样信号和矩形脉冲信号的卷积,那么由卷积定理,数字信号的频谱就是冲激采样信号的频谱与矩形脉冲频谱(即Sa函数)的乘积。这样,用Sa 函数的倒数作为频谱特性补偿,由数字信号便可恢复为采样信号。由采样定理,采样信号的频谱经理想低通滤波便得到原来模拟信号的频谱。 一般实现时,不是直接依据这些原理,因为尖锐的采样信号很难获得,因此,这两次滤波(Sa函数和理想低通)可以合并(级联),并且由于这各系统的滤波特性是物理不可实现的,所以在真实的系统中只能近似完成。

数模及模数转换器习题解答

自我检测题 1 ?就实质而言,D/A 转换器类似于译码器,A/D 转换器类似于编码器。 2 ?电压比较器相当于1位A/D 转换器。 3 ? A/D 转换的过程可分为 采样、保持、量化、编码 4个步骤。 4 ?就逐次逼近型和双积分型两种 A/D 转换器而言, 双积分型 的抗干扰能力强, 逐次逼近型的转换速度快。 5 ? A/D 转换器两个最重要的指标是分辨率和转换速度。 6 ? 8位D/A 转换器当输入数字量只有最低位为 1时,输出电压为0.02V ,若输入数字量只有最 高位为1时,则输出电压为 V 。 A. 0.039 B ■ 2.56 C ? 1.27 D .都不是 7 ? D/A 转换器的主要参数有 ______ 、转换精度和转换速度。 A .分辨率 B ?输入电阻 C ?输出电阻 D ?参考电压 8 ?图T7.8所示R-2R 网络型D/A 转换器的转换公式为 _________ 。 图 T7.8 9 . D/A 转换器可能存在哪几种转换误差?试分析误差的特点及其产生误差的原因。 解:D/A 转换器的转换误差是一个综合性的静态性能指标,通常以偏移误差、增益误差、非线 性误差等内容来描述转换误差。 偏移误差是指D/A 转换器输出模拟量的实际起始数值与理想起始数值之差。 增益误差是指实际转换特性曲线的斜率与理想特性曲线的斜率的偏差。 D/A 转换器实际的包络线与两端点间的直线比较仍可能存在误差,这种误差称为非线性误差。 10. 比较权电阻型、R-2R 网络型、权电流型等 D/A 转换器的特点,结合制造工艺、转换的精度 和转换的速度等方面比较。 解:权电阻型D/A 转换器的精度取决于权电阻精度和外接参考电源精度。 由于其阻值范围太宽, 很难保证每个电阻均有很高精度,因此在集成 D/A 转换器中很少采用。 R-2R 网络型D/A 转换器电阻网络中只有 R 和2R 两种阻值的电阻,且比值为2。虽然集成电路技 术制造的电阻值精度不高, 但可以较精确地控制不同电阻之间的比值, 从而使R-2R 网络型D/A 转换 器获得较 高精度。 权电流型D/A 转换器可以消除模拟开关导通电阻产生的影响。同时可获得较高的转换速度。 11. 工—A 模/数(A / D )中包括哪些主要部分?它们各起什么作用? 解:工—A 模/数转换器由1个差分放大器、一个积分器、1个比较器、1个1bit 的DAC 和数 字滤波器组成。 差分放大器:将输入信号 V I 减去来自1位DAC 的反馈信号得到误差信号,V e = V I — V f 。 积分器:积分器对误差信号 v e 进行积分。 电压比较器:当积分器的输出电压 v g > 0V 时,输出V g /为高电平(逻辑1 );当V g W 0V 时,V g z 为低电平(逻辑0)。实际上,该电压比较器可以看成是 1位的ADC 1位的DAC 由一模拟选择开关构成。当输入为逻辑 1时,把输出端V f 接+V REF ;当输入为逻辑0 时,把输 出端V f 接地。 A . V 。 V REF 23 、D i i =0 B. V o 3 24 J 2i 2 V REF C . V o V 3 V R 4^' Di 2i 24 y D. V o V REF J 2 i =0 D i 2i

相关文档
相关文档 最新文档