文档视界 最新最全的文档下载
当前位置:文档视界 › 病床呼叫系统电子课程设计实验报告剖析

病床呼叫系统电子课程设计实验报告剖析

病床呼叫系统电子课程设计实验报告剖析
病床呼叫系统电子课程设计实验报告剖析

唐山学院

电子技术课程设计

题目病床呼叫系统设计

系 (部) 机电工程系

班级机制四班

姓名杨柏

学号 4120105407

指导教师王志秦成凤敏

2014 年 12 月 15 日至 12 月 19 日共 1 周2014年 12 月 19 日

课程设计成绩评定表

目录

1 引言 (1)

2 系统总体方案设计 (2)

3 软件介绍 (6)

3.1 Multisim简介 (6)

3.2 Multisim10.0概述 (6)

3.3 Multisim10.0 仿真方法介绍 (6)

3.4 Multisim10.0的界面 (7)

4 主要器件简介 (8)

4.1 74LS148编码器 (8)

4.2 74LS248译码器 (8)

4.3 7段共阴极数码管 (8)

5 仿真结果演示 (9)

5.1 0号床位呼叫时显示 (9)

5.2 8和9号床位同时呼叫显示 (10)

5.3 无床位呼叫时显示 (11)

6 设计结论 (12)

4.1 能实现的功能 (12)

4.2 功能模块 (12)

4.3 功能实现简介 (12)

4.4 设计定义 (12)

7 设计体会 (13)

8 参考文献 (14)

9 附录 (15)

9.1 器件明细表 (15)

9.2 仿真结果演示 (16)

1 引言

在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。

病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平的必备设备之一。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

医院已经从人工管理模式向智能化方向发展。“病床呼叫系统”可以实现对病房的智能化管理,可实现呼叫、求救警报、信息存储、显示等等功能。患者在住院期间,可能会在任意时间请求医生或护士进行诊断或护理。病床求助呼叫是传送临床信息的重要手段,病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,要将患者的请求快速传给值班医生或护士,并在护士站的监控中心留下准确完整的记录,是提高医院和病室护理的重要组成部分。

临床求助呼叫在病人的治疗过程中起到至关重要的作用,而病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,此系统可将病人的请求快速的传送给值班护士,值班护士根据具体情况作出相应的处理,病床呼叫系统是提高医院病房护理水平和质量的必备设备之一。呼叫系统的优劣直接关系到病人的安危,历来受到各大医院的普遍重视。它要求及时、准确、可靠、简便操作、利于推广。系统的呼叫按钮放在病房内,显示装置安装在护士值班室内,两者通过电线相连接在一起。当病房中的任意患者有呼叫请求时,按下呼叫按钮,在护士值班室会进行声光报警,并在其显示器以及显示灯上显示病房的号码。

本系统用两个74LS148和一个74LS248,外接一个数码管显示器和报警器来实现病床呼叫系统。能够实现病人和医护人员之间信息的传递。每个病床都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的病房号和床位号,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。

2 系统总体方案设计

1、用优先编码器74LS148和附加门电路实现10病床呼叫系统,呼叫系统用

数码管显示呼叫床位号。

2、用2片74LS148扩展成16线-4线的优先编码器,第一片74HC148输入

优先级别高的8个输入,第二片输入优先级别低的8个输入,即:第一

片的S接地;根据74HC148编码表,在第一片的输入A15-A8均无有效电

平时,第二片工作,则将第一片的输出选通端Ys接第二片的输入选通端

S端。

3、由两片74HC148实现16线-4线优先编码器的编码表如下。

表2-2 16线-4线优先编码器编码表

输入输出

S’ I0’I1’I2’ I3’ I4’I5’I6’ I7’Y2’ Y1’ Y0’ Y’EX YS’

1 x x x x x x x x 0 x x x x x x x 0 0 x x x x x x 0 1 0 x x x x x 0 1 1 0 x x x x 0 1 1 1 0 x x x 0 1 1 1 1 0 x x 0 1 1 1 1 1 0 x 0 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1

0 1 1 0 1

1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 0

4、逻辑电路图如下。

图2-1 两片74LS148实现16线-4线优先编码器逻辑图

5、选择哪10个输入作为本设计的输入,要考虑本设计要求用数码管显

示床位号。假如使用共阴极数码管,则显示译码器应选择驱动共阴极数码管的显示译码器芯片。74LS248或7448就是用来驱动共阴极数码管的显示译码器芯片。74LS248或7448输入为4位8421码,即有4个输入端A0A1A2A3。允许的10种输入分别为0000、0001、0010、0011、0100、0101、0110、0111、1000、1001。

将10线-4线优先编码器的输出作为74248或7448的输入时,只允许有上述10种输出。那么由10线-4线优先编码器编码表,我们只能选择优先级别低的10种,而放弃优先级别高的6种。10个输入端分别按低电平有效制作开关电路。

图2-2 开关电路图

7、床位号码显示电路。将16线-4线优先编码器的输出Z0、Z1、Z2、Z3分别与显示译码器芯片74LS248或7448的输入端A0、A1、A2、A3连接,74LS248或7448的7个输出端Ya、Yb、Yc、Yd、Ye、Yf、Yg分别于共阴极数码管的7个阳极a、b、c、d、e、f、g连接。74LS248的其它附属端悬空不用。连接图如下图所示。

图2-3 床位号码显示电路连接图

3软件介绍

1、Multisim简介

NI Multisim是一款著名的电子设计自动化软件,与NI Ultiboard 同属美国国家仪器公司的电路设计软件套件。是入选伯克利加大SPICE 项目中为数不多的几款软件之一。Multisim在学术界以及产业界被广泛地应用于电路教学、电路图设计以及SPICE模拟。Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用

Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

2、multisim10概述

通过直观的电路图捕捉环境, 轻松设计电路,通过交互式SPICE仿真, 迅速了解电路行为,借助高级电路分析, 理解基本设计特征,通过一个工具链, 无缝地集成电路设计和虚拟测试,通过改进、整合设计流程, 减少建模错误并缩短上市时间。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。

3、multisim10仿真方法介绍

按照任务书上的要求,结合题型的目的,画出相应的电路框图。根据电路图选择器件连接电路。设计各个模块的功能以及其详细的电路连接方法。先在Multisim中将各个模块连接到一起,注意检查各个部分是否连接正确和连接端是否连接好。检查设计思路以及电路,按照设计框图原理,查看仿真电路与原理图是否吻合。如果不吻合则需要就将其逐个问题解

决,直到所有问题都解决了为止。反之就可以进行下一步。然后单击运行按钮运行仿真。根据仿真情况与课程设计任务对比,对于不能实现的任务修改并调试程序,重新装载并重新运行调试仿真,直到实现能完全实现所要求的功能为止。(注意所选择的芯片参数是否正确,是否连接正确,在测试看看各管脚的电平是否符合理论计算上达到的值,同时观察各个集成器件的各个管脚的电平变化情况,以及数码显示器的显示是否正确。如果不正确进一步改进和简化程序在进行调试仿真。

4、Multisim10.0的界面

图3-1 Multisim10.0的界面

4主要器件简介

1、74LS148编码器

74ls148芯片管脚:0-7编码输入端(低电平有效)EI选通输入端(低电平有效),A0、A1、A2三位二进制编码输出信号即编码输出端(低电平有效),GS片优先编码输出端即宽展端(低电平有效),EO选通输出端,即使能输出端。

2、74LS248译码器

七段显示译码器输入的是8421BCD码,输出的是能驱动七段显示器的高低电平信号。所以它有四个输入端,分别为D C B A和一些控制输入端。

七个输出端分别是A、B、C、D、E、F、G。这类译码器全称为BCD七段译码器/驱动器。如74246、74247、74248、CC4547等。

3、7段共阴极数码管

led数码管(LED Segment Displays)由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。数码管实际上是由七个发光管组成8字形构成的,加上小数点就是8个。这些段分别由字母a,b,c,d,e,f,g,dp来表示。led数码管(LED Segment Displays)是由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。

led数码管常用段数一般为7段有的另加一个小数点,还有一种是类似于3位“+1”型。位数有半位,1,2,3,4,5,6,8,10位等等,led数码管根据LED的接法不同分为共阴和共阳两类,了解LED的这些特性,对编程是很重要的,因为不同类型的数码管,除了它们的硬件电路有差异外,编程方法也是不同的。本设计用的是7段共阴极数码管。

5仿真结果演示

1、0号床位呼叫显示

图5-1 0号床位呼叫显示图

2、8和9号床位同时呼叫时的显示

图5-2 8和9号床位同时呼叫时显示图

3、无床位呼叫时显示

图5-3 无床位呼叫时显示图

6 设计结论

1、能实现的功能

当有病人紧急呼叫时,产生声音提示,并显示病人的编号;根据病人的病情设计优先级别,当有多人呼叫时,病情严重者优先;医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其他呼叫病人的病号。

2、本设计分为四个主要功能模块

病床呼叫模块,优先选择模块,译码显示模块,以及蜂鸣器模块。

这些模块共同工作完成本电路的功能实现。

3、功能实现简介

其中运用了16线—4线优先编码器74LS148D来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,7段字形译码器74LS248D和共阴极七段数码管来实现译码显示模块主要功能,运用蜂鸣器来实现呼叫模块。信号呼叫由呼叫显示模块的各个呼叫开关发出,接着,信号传到优先选择模块,将优先级别最高的患者的信号选择出来,并传到呼叫模块与译码显示模块:呼叫模块通过电路令蜂鸣器鸣叫,同时译码显示模块通过小规模逻辑门集成电路与74LS148D 将信号变换成对应的患者床号,并由七段数码管显示出来。当该病患治疗后,断开其对应的呼叫开关,此时该系统将显示下一名较高优先级的病患床号。

4、设计定义

用较为简单的数字电路实现了一个具有实际应用背景的病房呼叫系统的设计。

7设计体会

刚开始使用它的时候,有很多次仿真结果与实际差很多,刚开始给我的感觉很不好,经过王志秦和成凤敏两位老师的悉心指导以及这么长一段时间的使用和查资料解决相关问题,我终于明白了一句话,软件本身很不错,但是能不能得到比较精确的结果在于用的人,你会不会使用它。事实确实如此。不过仿真终究是在理想情况下对电路进行的模拟,与现实的结果会有较大的差距,但并不能否认它的用处,因为在熟悉之后就可以根据经验由仿真数据进行实际电路的评估与制作!所以工具本身很不错,但是能不能得到比较精确的结果在于用的人,你会不会使用它!但是有一个问题也会随之而来,就是设计人员在设计电路的时候不会从Mulitisim中去查找合适的元件,而是根据要求与指标先查找合适的元件,然后再去验证自己的正确性,这样一来,就会有许多元件可能在Multisim中找不到,查找Multisim中相同参数的元件又很麻烦,怎么办呢?幸好Multisim可以创建仿真元件模型,否则的话,我们设计出来的东西就只有实际搭出来验证了,这样就会浪费很大的人力物力财力。下面我就说一下仿真模型的创建步骤。首先要准备好器件的Pspice 模型,这是最重要的,没有这个东西免谈,当然Spice高手除外。下面就可以利用Multisim的元件向导功能制作自己的仿真元件模型了。将刚刚做好的元件保存,你可能注意到了,保存的路径里面没有出现MasterDatabase,即主数据库,这就是Multisim做的较好的其中一方面,你无论是新建元件还是修改主数据库里面的元件,都不会影响主数据库里面的元件,选好路径以后点击Finish即可,一个新元件就被创建了。

在本次电子技术课程设计中,无论是电路元件的选择还是电路的连接方式,都是我们自己筛选的。在这个过程中,理论结合实际,提高了我们的工程素质,系统调试能力,分析问题和解决问题的能力。通过在网上查找资料,也叫我更好的理解和认识了逻辑电路。我体会到了电路设计和制作的全过程,使我受益匪浅。从动手调试的过程中,增加了我们对这项技术的了解,使我们体会到了只有踏踏实实学习,才能解决问题,才能让我们更能站在工作岗位上。作为学生面对的无非是同学、老师、家长,而工作后就要面对更为复杂的关系。无论是和领导、同事还是客户接触,都要做到妥善处理,要多沟通,并要设身处地从对方角度换位思考,而不是只是考虑自己的事。同时我也懂得了理论和实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正的学到知识,从而提高自己的实际动手能力和独立思考的能力。

8参考文献

[1].王鸿明,段玉生.《电工与电子技术》.高等教育出版社,2009.12

[2].阎石.《数字电子技术基础》.高等教育出版社,2009.2

[3].吴俊芹.《电子技术实训与课程设计》.机械工业出版社,2009.4

9 附录

1、附录1 器件明细表

表9-1 附录器件明细表

74ALS00M 与非门 3 NC7S04-6V 非门 1 74LS148D 10-4线优先编码器 2 74LS248D 七段字形译码器 1 七段共阴极数码管 1 10K电阻 1

开关10

蜂鸣器 1

2、附录2 仿真结果演示

图9-2 1号病床呼叫时显示图

病床呼叫系统讲解

课程设计(论文) 题目名称病床呼叫系统 课程名称电子技术课程设计 学生姓名xxxxx 学号xxxxxxxx 系、专业xxxxxxx 指导教师xxxxxxx 2016年5月31日

摘要 本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。 本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,并且运用74LS138译码器来进行输出的控制,本论文阐述了如何利用数字电路的思想,以及应用proteus仿真软件设计病房呼叫系统,测试,并实现其功能。 关键词:病房呼叫系统,JK同输入触发器,数字电路

目录 摘要............................................................................................................ I 引言.. (1) 1 设计详细任务与要求 (2) 1.1 基本功能 (2) 1.2 呼叫系统的总体框图 (2) 1.3方案设计与论证 (3) 2 设计方案简述 (4) 3 单元电路的设计 (5) 3.1 按键模块及指示灯模块的设计 (5) 3.2 锁存模块及清零模块的设计 (7) 3.3 显示模块及报警模块的设计 (9) 3.4 呼叫系统总电路原理图 (11) 4 病床呼叫系统的功能说明及仿真结果 (12) 5 病床呼叫系统设计总结 (14) 参考文献 (15) 附录 (16)

基于单片机的医院病床呼叫系统设计

基于单片机的医院病床呼叫系统设计 摘要: 本文主要应用单总线技术和单片机间串行通信技术,研究了基于单片机的一种可靠医院病床呼叫系统,并且运用Proteus和Keil软件仿 真效果理想,实现了病床呼叫的功能。它不但能够有效传送呼叫信 息,而且能通过数码显示求助病床号和通过蜂鸣器声音求助医护人 员,还可以存储呼叫信息、以备查询,同时可以扩展到与计算机联 机管理。该系统具有电路结构简单、成本低、可靠性高、布线施工 方便易于维护等特点。特别是当病床数目较多时,更具有优越性。 关键词: 病床呼叫系统串行通信记忆存储单总线技术 中图分类号:TP36 MCU Based Call System Design for Hospital Abstract:This article mainly introduced the application of the single-bus technology and inter-MCU serial communication technical, Studied a reliable call system for hospital based on MCU, and simulated the circuit with Proteus and Keil, the results are satisfactory. The system achieved the function of beds calling, is able to send the call information effectively and display the number of beds through its digital display, ask doctors and nurses for help through Sound buzzer. Besides the system can store call

电子电路课程设计密码锁(满分实验报告)

密码锁设计报告 摘要: 本系统是由键盘和报警系统所组成的密码锁。系统完成键盘输入、开锁、超时报警、输入位数显示、错误密码报警、复位等数字密码锁的基本功能。 关键字:数字密码锁GAL16V8 28C64 解锁与报警 1

目录: 一、系统结构与技术指标 1、系统功能要求 (4) 2、性能和电气指标 (5) 3、设计条件 (5) 二、整体方案设计 1、密码设定 (6) 2、密码判断 (6) 3、密码录入和判断结果显示 (6) 4、系统工作原理框面 (7) 三、单元电路设计 1、键盘录入和编码电路图 (8) 2、地址计数和存储电路 (12) 3、密码锁存与比较电路 (12) 2

4、判决与结果显示电路 (14) 5、延时电路 (15) 6、复位 (17) 7、整机电路图 (19) 8、元件清单……………………………………………19四、程序清单 1、第一片GAL (21) 2、第二片GAL (23) 五、测试与调整 1、单元电路测试 (25) 2、整体指标测试 (26) 3、测试结果 (26) 六、设计总结 1、设计任务完成情况 (27) 2、问题及改进 (27) 3、心得体会 (28) 3

一、系统结构与技术指标 1.系统功能要求 密码锁:用数字键方式输入开锁密码,输入密码时开锁;如 果输入密码有误或者输入时间过长,则发出警报。 密码锁的系统结构框图如下图所示,其中数字键盘用于输入 密码,密码锁用于判断密码的正误,也可用于修改密码。开锁LED1亮表示输入密码正确并开锁,报警LED2亮表示密码有误或者输入时间超时。 开锁green 键盘密码锁 错误red 4

病房呼叫系统方案

呼叫系统方案 主机与病员一览表合二为一,并设有万年历、呼叫床位指示灯和病房床位数码显示窗口,外壳超薄型设计,造型特别精巧,可壁挂、桌面任意放置;分机采用最新编码电路编号,变更床号只需更换编码芯片即可,外壳采用了全塑外扣式设计,适用于任何形式的安装配套;分机为内压线式接线,实现了房间内无断线的连接。使用、安装、维护更安全更方便。: 1、该医院呼叫系统双向呼叫、双功通话:分机可呼叫主机,主机也可呼叫分机,送话受话无需转换。 2、主机多功能显示:主机可显示万年历,护理等级,呼叫床号、序号等。 3、三级护理设置:可根据病员病情任意设置高、中、低级护理床位,并在主机上有不同颜色的灯显示。 4、高级优先:高级病员的呼叫可以中断低级病员的通话。 5、话筒统播:可通过主话机作下通知、找人等统播。 6、宣教广播:可接入录音机等信号源作宣传教育广播。 7、主机免提:分机呼入时主机可免提与分机对讲。 8、无中断呼叫:无论在通话、广播等状态只要有呼叫均能呼入并给出显示。 9、呼叫存储:分机呼叫而主机无人接时主机将该分机号存储。 10、走廊显示屏:可显示呼叫分机号、存贮分机号和呼叫序号;无呼叫存贮时显示时间。 11、与计算机连网:由计算机将病员的呼叫时间、呼叫次数、处理时间、超时处理等进行统计,为考核服务质量提供技术上的保证。 12、故障自检报警:当系统出现故障时主机显示窗口及显示屏均能给出数字显示,并伴有声响报警提示: 1、主机最大分机容量:50门、60门 2、最长连线距离:800米 3、最大存储量:9个 4、电源:220V±10%、50Hz 5、工作方式:连续 6、功耗:<20W 7、总线电压:18V 8、工作条件:环境温度0~40℃相对湿度≤80% 医院呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号(1-9号应按01-09),被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号

数电课程设计病床呼叫系统

数电课程设计病床呼叫 系统 集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术课程设计报告 题目:病床呼叫系统 班级: 姓名: 指导老师: 组号: 目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13) 病床呼叫系统

1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计 系 (部) 班级 姓名 学号 指导教师 2014 年 12 月 15 日至 12 月 19 日共 1 周 2014年12 月19日

课程设计成绩评定表

目录 1设计题目 (3) 1病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1设计内容 (6) 3.2设计思路 (6) 3.3需要器件 (7) 3.4结构框图 (7) 3.5系统原理图及其工作原理 (8) 3.6各部分功能模块 (12) 3.6.1床位呼叫控制转换模块及原理图 (12) 3.6.2 优先选择模块设计 (13) 3.6.3译码显示模块设计 (16) 3.6.4蜂鸣器模块 (17) 4.软件仿真及其调试 (18) 4.1软件介绍 (18) 4.2仿真方法介绍 (18) 4.3故障分析 (19) 5.结论 (20) 6.心得体会 (21) 参考资料 (22) 附录1仿真电路全图 (23) 附录2 元件清单 (24)

1设计题目1病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时,病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

plc课程设计病床呼叫系统

目录 第1章控制工艺流程分析 (1) 1.1病床呼叫系统控制过程描述 (1) 1.2. 病床呼叫系统控制工艺分析 (1) 第二章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.4系统结线图设计 (3) 第3章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制程序时序图设计 (5) 3.3 控制程序设计思路 (7) 第4章监控系统设计 (8) 4.1 PLC与上位监控软件通讯 (8) 4.2 上位监控系统组态设计及实现效果 (9) 第5章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 第6章课程设计心得 (11) 参考文献 (12) 附录 (13)

第1章控制工艺流程分析 1.1病床呼叫系统控制过程描述 患者在住院期间,可能会在任意时间请求医生或护士进行诊断或护理。临床救助呼叫是传送临床信息的重要手段,病床呼叫系统是患者向值班医生或护士发出紧急呼叫的工具,要将患者的请求快速传送给值班医生或护士,并在护士站的监控中心留下准确完整的记录,是提高医院和病室护理水平的必备设备之一。病床呼叫系统要求及时、准确、可靠,简便可行,利于推广,其性能的优劣直接关系到病员的安危,因此历来受到各大医院的普遍重视。 本实验应用可编程控制,以一种以PLC为核心的智能化病床呼叫系统。该系统利用PLC特殊的I/O接口,是系统构成更加简单,充分展示了它的特色和应用前景。该系统具有呼叫、灯光报警、振铃、先是排队、优先权设定等功能,可满足医院对病房管理和护理的要求。 1.2. 病床呼叫系统控制工艺分析 1.共有3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s 内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。

数字电子技术课程设计报告-病床呼叫系统

数字电子技术课程设计报告题目:病床呼叫系统 班级: 姓名: 指导老师: 组号:

目录 1、设计任务及要求 (3) 2、方案设计 (3) 3、各单元电路设计与分析 (4) 3.1、开关控制及指示灯与数码管显示部分 (4) 3.2、优先编码部分 (5) 3.3、5s闪烁呼叫模拟部分 (6) 4、总体电路原理图及元器件清单 (7) 4.2、电路原理图 (8) 4.2、原件清单 (10) 5、电路仿真及仿真结果分析 (11) 6、电路功能测试方案与测试结果 (12) 7、作品照片图 (12) 8、结论与心得体会 (13) 9、参考文献 (13)

病床呼叫系统 1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下: (1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。 (2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。 (3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。 (4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。 2、方案设计: 临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。 本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。 通过对设计的要求分析,可以将电路大致分为四大模块,触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块,通过对四大模块的整合,即可基本达到实验要求,实现系统功能。如图1所示,该系统分为三大部分:左边方框是病房的呼叫输入端,包括5个呼叫按钮;右边方框为护士站的呼叫处理端,包括5个指示灯、一个数码管显示器和一个响应复位开关; 图1 病床呼叫系统设计框图

电子商务系统分析与设计课程设计实验报告范本

电子商务系统分析与设计课程设计实 验报告

江苏科技大学 电子商务系统分析与设计课程设计 网上书城系统的开发 学生姓名张颖 学号 班级08404121 指导老师 成绩 经济管理学院信息管理系 1月8日 目录 一.系统规划 (4)

1.2初步调查 (5) 1.3确定电子商务模式和模型 (6) 1.4可行性分析和可行性分析报告 (6) 二.系统分析 (8) 2.1系统调查 (8) 2.2需求规格说明书 (9) 2.2.1 引言 (9) 2.2.2项目概述 (9) 2.2.3需求规定 (10) 2.2.4环境要求 (16) 2.3组织结构分析 (17) 2.4业务流程分析 (17) 2.5数据流程分析 (19) 三.系统设计 (21) 3.1系统总体结构 (21) 3.2网络基本结构 (22) 3.3系统平台选择 (22) 3.4应用系统方案 (23) 3.4.1各功能模块简要描述 (23) 3.4.4数据库设计 (24) 3.4.5用户界面设计 (31)

3.5.1客户端要求 (32) 3.5.2服务器端要求 (32) 3.5.3系统测试 (32) 四.支付系统设计 (39) 4.1支付协议选择 (39) 4.2支付系统数据流程分析 (39) 4.3支付系统安全需求分析 (41) 4.4支付系统总体设计 (42) 4.5支付系统功能 (44) 4.6交易流程设计 (46) 4.7支付系统安全设计 (47) 五.心得体会 (47) 一.系统规划 1.1明确用户需求 随着当今社会新系统大度的提高,网络的高速发展,计算机已被广泛应用于各个领域,因而网络成为人们生活中不可或缺的一部分。互联网用户应经接受了电子商务,网购成为一种时尚潮流。

病房呼叫系统

病房呼叫系统 实验报告 专业:电气与电子信息工程 班级:电气英才1301班 姓名:刘苗薛鹏飞 学号:1304080275 1304080046 2015年5月15日

武汉轻工大学 摘要 随着科技的发展,数字技术被越来越广泛地应用在各个领域,极大地提高工作效率,减轻了工作负担。在医院里,为了给病人提供更多更好更快的服务,及时了解病人的需求,病房呼叫器便应运而生了。本设计中,要实现一个具有优先级别的病房呼叫系统。这里,最核心的技术是,先通过一个74LS148对输入进行编码,再用锁存器74HC573进行锁存,再接入数码管译码器74LS48进行译码,最终显示到数码管上。报警闪烁和报警声分别用2个555电路产生的方波驱动实现。用锁存住的呼叫信号同时触发这2个555发生电路,其中报警闪烁方波频率可设为1hz,报警声音频方波频率可设为128hz。报警闪烁方波信号接到数码管的COM端(阴极)控制数码管的闪烁;报警声音频方波经放大后接到蜂鸣器上。当清除键按下时,呼叫信号被清除,2个555均停止工作。 关键字:74HC573、74LS148、74LS48、NE555、蜂鸣器

武汉轻工大学 目录 摘要 (1) 目录 (2) 1设计任务与要求 (2) 1.1设计任务 (2) 1.2设计要求 (3) 2方案设计 (3) 3电路与参数的计算 (3) 3.1总体结构框图 (3) 3.2按键模块 (4) 3.3显示模块 (5) 3.3报警模块 (6) 3.4电源模块 (6) 3.5复位模块 (7) 4整体电路图 (8) 5 系统调试与测试 (8) 5.1主控制电路的测试与调整 (8) 5.2显示电路的调整 (8) 5.3指示灯电路的测试与调整 (9) 6 设计总结 (9) 1设计任务与要求 1.1设计任务 通过实际设计制作一个病房呼叫系统,学习掌握电子系统设计的基本流程;了解

简易病床呼叫系统

简易病床呼叫系统 一、系统介绍 本简易病床呼叫系统的功能是:启动系统后,数码管显示0。当有一个病人呼叫时,数码管显示相应病房号,同时蜂鸣器响起;当有两个病人同时呼叫时,数码管显示优先级高的,同时蜂鸣器响起;当有一个病房呼叫后另一个病房呼叫,若后呼叫的病房优先级低,则数码管显示不变,若后呼叫的病房优先级高,则数码管显示后呼叫的,两种情况下蜂鸣器都会叫,只是在有别的病房呼叫时有一点变音。在本系统中,设病房1到4优先级递减。 本简易病床呼叫系统基于AT89C51单片机,振荡电路的晶振采用12MHz,由控制核心AT89C51单片机、电源电路、振荡电路、复位电路、病房选择和七段数码管等部分组成,系统框图如下: 图1 系统框图 本系统利用了单片机AT89C51内部时钟信号,外接晶振和电容进行微调频率,用单片机的I/O口对数码管进行静态驱动,在此基础上,增加了复位电路和

选择电路。通过选择电路可以确定几号病房呼叫,用复位电路进行清零。 二、电路图 电路设计完成后,通过Proteus进行仿真,仿真电路如下图2。 图2 系统仿真电路 本系统选择电路利用四个按键开关模拟病房1--4呼叫,分别连接到单片机的P3^0、P3^1、P3^2、P3^3,按下开关说明相应的病房呼叫。单片机的P0口外接排阻和数码管,复位电路和蜂鸣器分别与P3^4和P1^7相连。 系统通电后,仿真电路结果如下图3:

图3 通电后系统仿真电路 按下第一个开关,模拟1号病房呼叫,仿真结果如下图4: 图4 1号病房呼叫仿真结果

若同时按下第一个开关和第二个开关, 则数码管只显示“1”,仿真如下图5: 三、系统程序流程图 本系统程序流程图如下: 开始 工作

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

病床呼叫系统设计

电子技术课程设计 题目病床呼叫系统设计系(部)班级姓名学号指导教师 2014 年12 月15 日至12 月19 日共 1 周 2014年12 月19 日

课程设计成绩评定表

目录 1 设计题目. (3) 1 病床呼叫系统设计 (3) 2 课题背景 (4) 3 系统设计 (6) 3.1 设计内容 (6) 3.2 设计思路 (6) 3.3 需要器件 (7) 3.4 结构框图 (7) 3.5 系统原理图及其工作原理 (8) 3.6 各部分功能模块 (12) 3.6.1 床位呼叫控制转换模块及原理图. (12) 3.6.2 优先选择模块设计 (14) 3.6.3 译码显示模块设计. (16) 3.6.4 蜂鸣器模块. (17) 4.软件仿真及其调试 (18) 4.1 软件介绍 (18) 4.2 仿真方法介绍 (18) 4.3 故障分析 (19) 5.结论 (20) 6.心得体会 (21)

参考资料 (22) 附录1 仿真电路全图 (23) 附录2 元件清单. (24) 1 设计题目 1 病床呼叫系统设计

2 课题背景 在这个瞬息万变,竞争激烈的时代,选择一个优越的工具往往能提升企业在这个市场上的竞争力。尤其医院的竞争越来越激烈,商业医院的生存是第一位,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 病床呼叫系统是一种应用于医院病房、养老院等地方,用来联系沟通医护人员和病员的专用呼叫系统,是提高医院水平和病室护理水平的必备设备之一。让患者需要服务时,只要按一下随身携带的呼叫器,信息立马就能传至护工处. 避免没有看护人在时, 病人急需服务却无法通知医生的情况。病床呼叫系统的优劣直接影响到病员的安危,历来受到各大医院的普遍重视。它要求及时、准确可靠、简便可行、利于推广。

中南大学电工电子课程设计实验报告

中南大学 电工电子技术课程设计报告 题目:可编程乐曲演奏器的设计 学院:信息科学与工程学院 指导老师:陈明义 专业班级: 姓名: 学号:

前言 随着科学技术发展的日新日异,电工电子技术在现代社会生产中占据着非常重要的地位,因此作为二十一世纪的自动化专业的学生而言,掌握电力电子应用技术十分重要。 电工电子课程设计的目的在于进一步巩固和加深所学电工电子基本理论知识。使学生能综合运用相关关课程的基本知识,通过本课程设计,培养我们独立思考的能力,学会和认识查阅学习我们未学会的知识,了解专业工程设计的特点、思路、以及具体的方法和步骤,掌握专业课程设计中的设计计算、软件编制,硬件设计及整体调试。设计过程中还能树立正确的设计思想和严谨的工作作风,达到提高我们的设计能力的目标。 从理论到实践,往往看似简单,实则是有很大的差距的,通过课程设计,可以培养我们学到很多东西,不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正的学到知识,从而提高自己的实际动手能力和独立思考的能力。 在次,特别感谢老师给我们以实践动手的机会,让我们对以前的知识以复习,整合,并从理论走向实践,相信我们都会在这次课程设计中学到很多!!!

目录 前言 (2) 正文 第一章系统概述 (4) 系统功能 (4) 系统结构 (4) 实验原理 (4) 整体方案 (5) 第二章单元电路的设计与分析 (5) 音频发生器的设计 (5) 节拍发生器的设计 (6) 读取存储器数据 (7) 选择存储器地址 (8) 控制音频电路设计 (8) 第三章电路的安装与调试 (9) 第四章结束语 (9) 元器件明细表 (10) 参考文献 (10) 附录 (11)

病房呼叫系统

太原工业学院 20**-20**学年第一学期 实践环节名称:数字电子技术课程设计课外作业名称:病房呼叫系统 系部名称:电子工程系 专业: 班级学号: 姓名: 指导教师: 实践周次: 17 周 成绩:

一、课程设计思路 根据数字电路知识,利用优先编码器、显示译码器和555等电路元件实现各项功能。 1.1 设计题目 病房呼叫系统。 1.2 设计要求 用1~5各开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示);凡有呼叫发出5秒的呼叫声。1.3 设计想法: 1.3.1整体设计思路 根据设计要求,我们将设计分为几个模块来设计,分别为:选优模块(对病房选优)、显示模块、蜂鸣模块。 1.3.2整体设计流程 (1)用5个发光二极管对应5个病房。 (2)将经过存储的信号送入优先编码器74148,选出优先级最高的呼叫信号,再通过显示译码器显示。 (3)用555构成多谐震荡电路,形成呼叫。 二、课程设计过程 2.1 模块分配 指示灯显示模块、优先显示模块。呼叫模块。 2.2 模块具体设计 (1)指示灯显示模块(LIGHT) 将病房呼叫信号输入到发光二极管中,使发光二极管发光。如图(1):

图(1) 图(1)中的五个开关分别对应着五个病房,又由于74ls148是低电平有效,所以在这指示灯模块中使用了图中所示方法输入信号。所接电阻都为限流电阻。 (2)优先显示模块 由设计要求,数码管要显示优先级最高的病房的呼叫信号,所以我们须得对病房呼叫信号进行选优。出于设计考虑,优先级最高为5,然后为4,依次到1。如图(2)所示: 图(2) 此电路由模拟开关、优先编码器74ls148,译码器74ls48、非门74ls04和数码管等组成。模拟开关初始状态为全高电平。将模拟开关的所有输入端,EI接高电平Vcc.74ls148的输出A0、A1、A2分别接入译码器74LS48的A,B,C;D接地。译码器74LS48的输出A---G对应接共阴数码管的a---g。 优先编码器74LS148的功能表如图(3)所示:

病床呼叫系统控制课程设计..

目录 第1 章控制工艺流程分析 (1) 1.1 病床呼叫系统控制过程描述 (1) 1.2 病床呼叫系统控制工艺分析 (1) 第2 章控制系统总体方案设计 (2) 2.1 系统硬件组成 (2) 2.2 控制方法分析 (2) 2.3 I/O分配 (3) 2.4 系统结线图设计 (4) 第3 章控制系统梯形图程序设计 (5) 3.1 控制程序流程图设计 (5) 3.2 控制系统时序图 (5) 3.3 控制程序设计思路 (7) 第4 章监控系统设计 (8) 4.1 PLC 与上位监控软件通讯 (8) 4.2 上位监控系统组态设计 (8) 4.3 实现的效果 (8) 第5 章系统调试及结果分析 (10) 5.1 系统调试及解决的问题 (10) 5.2 结果分析 (10) 课程设计心得 (11) 参考文献 (12) 附录 (13)

第 1 章控制工艺流程分析 1.1 病床呼叫系统控制过程描述 本课程设计主要用于医院病务区的病人有紧急或自己不方便的事件时呼叫护士站寻求帮助,护士根据站内指示灯及响铃获取求助信息的来源,并能及时的给其提供帮助。护士在站内发现信号时及时将站内灯及响铃复位,在处理完后再在病床前的开关将病床及病房的灯复位。 1.2 病床呼叫系统控制工艺分析 病床呼叫系统有主机、从机等两部分组成。从机(呼叫源)即病床按钮,主机包括PLC及显示和监护系统。主机中PLC工作方式为循环扫描方式,在系统程序控制下,PLC顺序读入输入端口各呼叫源的状态,并且不断地循环扫描。一旦有呼叫按钮按下,PLC立即响应,通过设置的程序实现对系统的控制。 ①拟定控制系统设计的技术要求; ②选择电气传动形式和电动机、电磁阀等执行元件; ③选定PLC型号; ④编制PLC的输入/输出端子接线图; ⑤根据系统设计的要求编写软件规格说明书,然后在用相应编程语言进行程序设计; ⑥设计操作台、电气柜及非标准电器元件; ⑦编写设计说明书和使用说明书。 1.共3个病房,每间病房4个床位。每一病床床头均有紧急呼叫按钮及重置按钮,以利病人不适时紧急呼叫。 2.设每一层楼有一护士站,每一护士站均有该层楼病人紧急呼叫与处理完毕的重置按钮。 3.每一病床床头均有一紧急指示灯,一旦病人按下紧急呼叫按钮且未在5s内按下重置按钮时,该病床床头紧急指示灯动作且病房门口紧急指示灯闪烁,同时同楼层的护士站显示病房紧急呼叫并闪烁指示灯。 4.在护士站的病房紧急呼叫中心,每一病房都有编号,用指示灯显示哪一病房先按下病人紧急呼叫按钮,并要具有优先级判别的能力。 5.一旦护士看见护士站紧急呼叫闪烁灯后,须先按下护士处理按钮以取消闪烁情况,再依病房紧急呼叫顺序处理病房紧急事故,若事故处理妥当后,病房紧急闪烁指示灯和病床上的紧急指示灯方町被重置。 6.主机监控系统显示后,出现相应的声、光报警指示,以便提示医护人员尽快赶到现场。

电子CAD课程设计实验报告

一.课程设计的目的 课程设计以电子线路CAD软件设计原理为基础,重点在硬件设计领域中实用的电子线路设计软件的应用。掌握电子线路设计中使用CAD的方法。为后继课程和设计打下基础。 通过电路设计,掌握硬件设计中原理图设计、功能仿真、器件布局、在线仿真、PCB设计等硬件设计的重要环节。 二.课程设计题目描述和要求 2.1振荡电路的模拟和仿真。 由555定时器构成多谐波振荡电路,用模拟的示波器观察输出的信号,熟悉555定时器构成多谐波振荡电路的基本原理,熟悉proteus的基本操作,和各元器件的查找。 2.2 8051单片机 用80c51单片机完成以下功能:(1)构成流水灯的控制电路,使八个流水灯轮流点亮。(2)构成音乐播放的简单电路。(3)构成串口通信电路,完成信息在单片机和串口之间的传播。(4)构成8255键盘显示模块。(5)构成A/D和D/A 转换模块。 首先用模拟器件构成基本电路,然后在单片机中加入驱动程序,运行仿真,最后对电路进行调整校正,完成相关功能。 熟悉单片机实现相关功能的基本原理,对单片机有个框架的了解。学习用proteus仿真单片机电路中不同模块间的组合,扩展单片机电路的功能。 三.课程设计报告内容。 3.1设计原理 3.1.1振荡电路仿真的原理 振荡电路原理: 555管脚功能介绍: 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电

PLC病床呼叫系统要点

广东石油化工学院 机电工程学院 课程设计报告 设计题目:病床呼叫系统 指导教师:邓昌奇 专业:机械设计制造及其自动化班级: 姓名: 学号:

设计二病床呼叫系统 摘要 课程设计是整个教学过程的倒数第二个环节,是将课堂教学与社会实践紧密联结的纽带,为明年的毕业设计做铺垫和让我们做好思想准备。通过课程设计,可以将我们课堂上所学的理论知识应用于生产实践中,去解决具体的工程应用问题,加强实践能力的锻炼,提高分析问题与解决问题的能力。 课程设计主要用于医院病务区的病人有紧急或自己不方便的事件时呼叫护士站寻求帮助,护士根据站内指示灯及响铃获取求助信息的来源,并能及时的给其提供帮助。护士在站内发现信号时及时将站内灯及响铃复位,在处理完后再在病床前的开关将病床及病房的灯及铃复位。 关键词:PLC、工作原理、复位

目录 设计要求、设计任务 (1) 第一章方案构思 (2) 1.1 可编过程控制器的基本结构及工作原理 (2) 1.2 整体方案设计思路 (2) 第二章控制系统总体方案设计 (4) 2.1 PLC简介 (4) 2.2 系统硬件组成 (4) 2.3 控制方法分析 (4) 2.4 I/O分配 (4) 第三章PLC控制程序设计 (6) 3.1 I/O接线图设计 (6) 3.2控制系统流程图设计 (7) 3.3控制系统时序图 (7) 3.4实现的效果 (8) 第四章程序设计与调试 (10) 4.1系统调试及解决的问题 (10) 4.2结果分析 (10) 总结 (11) 参考文献................................................................................... . (11) 附录一 (12) 附录二 (18)

相关文档
相关文档 最新文档