文档视界 最新最全的文档下载
当前位置:文档视界 › 数字逻辑复习材料(电信)

数字逻辑复习材料(电信)

数字逻辑复习材料(电信)
数字逻辑复习材料(电信)

第一章绪论

知识点1:编码、无权代码、有权代码

知识点2:数制、进制变换

知识点3:定点数、浮点数

知识点4:模拟信号、数字信号、模拟电路、数字电路

一、选择题

1、以下代码中为无权码的为( CD )。

A、8421BCD码

B、5421BCD码

C、余三码

D、格雷码

2、一位十六进制数可以用( C )位二进制数来表示。

A、1

B、2

C、4

D、16

3、十进制数25用8421BCD码表示为( B )。

A、10 101

B、0010 0101

C、100101

D、10101

4、在一个8位的存储单元中,能够存储的最大无符号整数是( CD )。

A、(256)10

B、(127)10

C、(FF)16

D、(255)10

5、常用的BCD码有( CD )。

A、奇偶校验码

B、格雷码

C、8421码

D、余三码

6、与模拟电路相比,数字电路主要的优点有( BCD )。

A、容易设计

B、通用性强

C、保密性好

D、抗干扰能力强

二、填空题

1、数字信号的特点是在幅度上和时间上都是离散,其高电平和低电平常用 1

和 0 来表示。

2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。

3、常用的BCD码有 8421BCD码、2421BCD码、5421BCD码、余三码等。常用的可靠性代

码有格雷码、奇偶校验码等。

4、(10110010.1011)2=( 262.54 )8=( B2.B )16

5、 ( 35.4)8 =(11101.1 )2 =(29.5)10=(1D.8)16=(0010 100.0101)8421BCD

6、(39.75 )10=(100111.11)2=(47.6)8=(27.C)16

7、 ( 5E.C)16=(1011110.11)2=(136.6)8=(94.75)10= (1001 0100.0111 0101)8421BCD

8、( 0111 1000)8421BCD =(1001110)2=(116)8=(78)10=(4E)16

第二章 逻辑代数基础

知识点1:逻辑函数、逻辑函数的六种表示方式

知识点2:基本的逻辑运算(与、或、非、与非、或非、与或非、异或)、逻辑运算规则 知识点3:三个定理:代入定理、反演定理、对偶定理

知识点4:逻辑函数两种标准形式、逻辑函数的变换(与非-与非、或非-或非、与或非式) 知识点5:逻辑函数的公式法化简、卡若图表示和卡诺图法化简、具有无关项的卡诺图化简

一、选择题

1、当逻辑函数有n 个变量时,共有( D )个变量取值组合。

A 、n

B 、2n

C 、n 2

D 、2n

2、逻辑函数的表示方法中具有唯一性的是( AD )。

A 、真值表

B 、表达式

C 、逻辑图

D 、卡诺图

3、=+++=D A CDE BD AB F ''( AC )。

A 、D A

B +' B 、D B A )'(+

C 、)')((

D B D A ++ D 、)')((D B D A ++

4、求一个逻辑函数F 的对偶式,可将F 中的( ACD )。

A 、“·”换成“+”,“+”换成“·”

B 、原变量换成反变量,反变量换成原变量

C 、变量不变

D 、常数中“0”换成“1”,“1”换成“0”

E 、常数不变

5、在何种输入情况下,“与非”运算的结果是逻辑0。 ( D )

A 、全部输入是0

B 、任一输入是0

C 、仅一输入是0

D 、全部输入是1

6、在何种输入情况下,“或非”运算的结果是逻辑0。 ( BCD )

A 、全部输入是0

B 、全部输入是1

C 、任一输入为0,其他输入为1

D 、任一输入为1

二、填空题

1、逻辑代数又称为布尔代数。最基本的逻辑关系有 与 、或、 非 三种。常用的几种导出的逻辑运算为 或非 、与非 、与或非 、 同或 、 异或 。

2、逻辑函数的常用表示方法有 逻辑表达 、 真值表 、 逻辑图 。

3、逻辑代数的三个重要规则是 代入规则 、对偶规则 、 反演规则 。

4、逻辑函数D C B A F ''++=的反函数='F )'('D C AB +。

5、逻辑函数F=A (B+C )·1的对偶函数是 F D =A+BC+0 。

6、添加项公式C A AB BC C A AB ''+=++的对偶式为)')(())(')((C A B A C B C A B A ++=+++。

7、逻辑函数=+++=)'''''(AB B A B A AB F 0 。

8、已知函数的对偶式为)''()''(BC D C AB F D ++=,则它的原函数为))')('(()''(C B D C B A F ++?+= 。

第三章 逻辑门电路

知识点1:半导体元器件的开关特性

知识点2:OC 门、OD 门、三态门

知识点3:TTL 数字集成电路的各种系列及其特性

知识点4:CMOS 数字集成电路的各种系列及其特性

知识点5:TTL 电路与CMOS 电路的连接

一、选择题

1、三态门输出高阻状态时,( ABD )是正确的说法。

A 、用电压表测量指针不动

B 、相当于悬空

C 、电压不高不低

D 、测量电阻指针不动

2、以下电路中常用于总线应用的有( A )。

A 、TSL 门

B 、O

C 门 C 、漏极开路门

D 、CMOS 与非门

3、三极管作为开关使用时,要提高开关速度,可( ACD )。

A 、降低饱和深度

B 、增加饱和深度

C 、采用有源泄放回路

D 、采用抗饱和三极管

4、CMOS 数字集成电路与TTL 数字集成电路相比突出的优点是( ACD )。

A 、微功耗

B 、高速度

C 、高抗干扰能力

D 、电源范围宽

5、与CT4000系列相对应的国际通用标准型号为( B )。

A 、CT74S 肖特基系列

B 、CT74LS 低功耗肖特基系列

C 、CT74L 低功耗系列

D 、CT74H 高速系列

二、填空题

1、集电极开路门的英文缩写为OC 门,工作时必须外加 电源 和 负载 。

2、OC 门称为 集电极开路门 门,多个OC 门输出端并联到一起可实现 线与 功能。

3、国产TTL 电路 CT4000相当于国际SN54/74LS 系列,其中LS 表示低功耗肖特基 。

第四章 组合逻辑电路

知识点1:小规模组合逻辑电路的分析与设计方法

知识点2:常用的中规模集成器件及其主要的集成电路芯片型号:编码器、译码器、数据选

择器

知识点3:用中规模集成器件实现组合逻辑电路的设计(编码器、译码器、数据选择器) 知识点4:CMOS 数字集成电路的各种系列及其特性

知识点5:竞争冒险的概念、判断方法、消除方法

一、选择题

1、下列表达式中不存在竞争冒险的有( CD )。

A 、A

B B F +=' B 、AB

C B F +=' C 、AB ABC F +='

D 、')'(AD B A F +=

2、若在编码器中有50个编码对象,则要求输出二进制代码位数为( B )位。

A 、5

B 、6

C 、10

D 、50

3、一个16选1的数据选择器,其地址输入(选择控制输入)端有( C )个。

A 、1

B 、2

C 、4

D 、16

4、下列各函数等式中无冒险现象的函数式有( D )。

A 、

B A A

C C B F '''++= B 、'''AB BC C A F ++= C 、B A AB BC C A F ''''+++=

D 、''''''C A AB BC B A AC C B F +++++=

E 、''''AB B A AC C B

F +++=

5、函数'''C B AB C A F ++=,当变量的取值为( ACD )时,将出现冒险现象。

A 、B=C=1

B 、B=C=0

C 、A=1,C=0

D 、A=0,B=0

6、四选一数据选择器的数据输出Y 与数据输入Xi 和地址码Ai 之间的逻辑表达式为Y=( A )。

A 、3012'0110'10'0'1X A A X A A X A A X A A +++

B 、0'0'1X A A

C 、10'1X A A

D 、301X A A

7、一个8选一数据选择器的数据输入端有( E )个。

A 、1

B 、2

C 、3

D 、4

E 、8

8、在下列逻辑电路中,不是组合逻辑电路的有( D )。

A 、译码器

B 、编码器

C 、全加器

D 、寄存器

9、八路数据分配器,其地址输入端有( C )个。

A 、1

B 、2

C 、3

D 、4

E 、8

10、组合逻辑电路消除竞争冒险的方法有( AB )。

A 、修改逻辑设计

B 、在输出端接入滤波电容

C 、后级加缓冲电路

D 、屏蔽输入信号的尖峰干扰

11、用3线-8线译码器74LS138实现原码输出的8路数据分配器,应( ABC )。

A 、A ST =1,'

B ST =D ,'

C ST =0 B 、A ST =1,'

B ST =D ,'

C ST =D

C 、A ST =1,'B ST =0,'C ST =

D D 、A ST =D ,'B ST =0,'C ST =0

12、以下电路中,加以适当辅助门电路,( AB )适于实现单输出组合逻辑电路。

A 、二进制译码器

B 、数据选择器

C 、数值比较器

D 、七段显示译码器

13、用四选一数据选择器实现函数0'101A A A A F +=,应使( A )。

A 、D0=D2=0,D1=D3=1

B 、D0=D2=1,D1=D3=0

C 、D0=D1=0,D2=D3=1

D 、D0=D1=1,D2=D3=0

14、用3线-8译码器74LS138和辅助门电路实现逻辑函数'1'22A A A F +=,应( AB )

。 A 、用与非门,)'('7'6'5'4'1'0Y Y Y Y Y Y F = B 、用与门,'3'2Y Y F =

C 、用或门,'3'2Y Y F +=

D 、用或门,'7'6'5'4'1'0Y Y Y Y Y Y F +++++=

二、填空题

1、消除竟争冒险的方法有 修改逻辑设计(增加多余项) 、 接入滤波电容 、 加选通脉冲 等。

第五章 集成触发器

知识点1:基本RS 触发器

知识点2:时序逻辑电路的电路图、状态表、状态方程、状态转移图、次态卡诺图表示方法 知识点3:同步RS 、同步D 、同步JK 、同步T 触发器的电路原理、特征方程、状态转移表 知识点4:不同触发器之间的相互转换

知识点5:主从RS 触发器、主从JK 触发器的特点、特征方程、状态转移表

知识点6:维持-阻塞D 触发器、边沿JK 触发器的特点、特征方程、状态转移表

一、选择题

1、N 个触发器可以构成能寄存( B )位二进制数码的寄存器。

A 、N-1

B 、N

C 、N+1

D 、2N

2、在下列触发器中,有约束条件的是( C )。

A 、主从JK 触发器

B 、主从D 触发器

C 、同步RS 触发器

D 、边沿D 触发器

3、一个触发器可记录一位二进制代码,它有( C )个稳态。

A 、0

B 、1

C 、2

D 、3

E 、4

4、存储8位二进制信息要( D )个触发器。

A 、2

B 、3

C 、4

D 、8

5、对于T 触发器,若现态Q n =0,欲使次态Q n+1=1,应使输入T=( BD )。

A 、0

B 、1

C 、Q

D 、'Q

6、对于T 触发器,若现态Q n =1,欲使次态Q n+1=1,应使输入T=( AD )。

A、0

B、1

C、Q

D、'Q

7、对于D触发器,欲使Q n+1=Q n,应使输入D=( C )。

A、0

B、1

C、Q

D、'Q

8、对于JK触发器,若J=K,则可完成(C )触发器的逻辑功能。

A、RS

B、D

C、T

D、'T

9、欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端(ABDE )。

A、J=K=0

B、J=Q,K='Q

C、J='Q,K=Q

D、J=Q,K=0

E、J=0,K='Q

Q'工作,可使JK触发器的输入端(ACDE )。

10、欲使JK触发器按Q n+1=n

A、J=K=1

B、J=Q,K='Q

C、J='Q,K=Q

D、J=Q,K=1

E、J=1,K=Q

11、欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端(BCD )。

A、J=K=1

B、J=Q,K=Q

C、J=Q,K=1

D、J=0,K=1

E、J=K=1

12、欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端(BCE )。

A、J=K=1

B、J=1,K=0

C、J=K='Q

D、J=K=0

E、J='Q,K=0

Q'工作,应使输入D=(D )。

13、欲使D触发器按Q n+1=n

A、0

B、1

C、Q

D、'Q

14、下列触发器中,克服了空翻现象的有(ABD )。

A、边沿D触发器

B、主从RS触发器

C、同步RS触发器

D、主从JK触发器

15、下列触发器中,没有约束条件的是(D )。

A、基本RS触发器

B、主从RS触发器

C、同步RS触发器

D、边沿D触发器

16、描述触发器的逻辑功能的方法有(ABCD )。

A、状态转换真值表

B、特性方程

C、状态转换图

D、状态转换卡诺图

17、为实现将JK触发器转换为D触发器,应使(A )。

A、J=D,K='D

B、K=D,J='D

C、J=K=D

D、J=K='D

18、边沿式D触发器是一种(C )稳态电路。

A、无

B、单

C、双

D、多

三、填空题

1、触发器有 2 个稳态,存储8位二进制信息要8 个触发器。

2、一个基本RS触发器在正常工作时,它的约束条件是'R+'S=1,则它不允许输入'S= 0 且'R=0 的信号。

3、触发器有两个互补的输出端Q、'Q,定义触发器的1状态为Q=1、'Q=0,0状态为Q=0、

=1,可见触发器的状态指的是 Q 端的状态。

4、一个同步正常工作时,不允许输入R+S=1的信号,因此它的约束条件是 R'S'=0 。

5、在一个CLK 脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的 空翻 ,触发方式为 主从 式或 边沿 式的触发器不会出现这种现象。

第六章 时序逻辑电路

知识点1:同步时序逻辑电路、异步时序逻辑电路的分析(驱动方程、时钟方程、输出函数、

状态方程、状态转移表、状态转移)

知识点2:同步时序逻辑电路(原始状态转移表、状态转移图、状态化简、状态方程)、简单

的异步时序逻辑电路(时钟方程的选择、状态表化简、状态方程)的设计。特别注

意加、减、可逆计数器的设计方法。

知识点3:寄存器和移位寄存器、常用的计数器、任意进制计数器的构成方法

知识点4:顺序脉冲信号、序列脉冲信号的产生方法

一、选择题

1、同步计数器和异步计数器比较,同步计数器的显著优点是( A )。

A 、工作速度高

B 、触发器利用率高

C 、电路简单

D 、不受时钟CLK 控制。

2、把一个五进制计数器与一个四进制计数器串联可得到( D )进制计数器。

A 、4

B 、5

C 、9

D 、20

3、下列逻辑电路中为时序逻辑电路的是( C )。

A 、变量译码器

B 、加法器

C 、数码寄存器

D 、数据选择器

4、N 个触发器可以构成最大计数长度(进制数)为( D )的计数器。

A 、N

B 、2N

C 、N 2

D 、2N

5、N 个触发器可以构成能寄存( B )位二进制数码的寄存器。

A 、N-1

B 、N

C 、N+1

D 、2N

6、五个D 触发器构成环形计数器,其计数长度为( A )。

A 、5

B 、10

C 、25

D 、32

7、同步时序电路和异步时序电路比较,其差异在于后者( B )。

A 、没有触发器

B 、没有统一的时钟脉冲控制

C 、没有稳定状态

D 、输出只与内部状态有关

8、欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用( B )级触发器。

A 、2

B 、3

C 、4

D 、8

9、用二进制异步计数器从0做加法,计到十进制数178,则最少需要( D )个触发器。

A 、2

B 、6

C 、7

D 、8

E 、10

10、若用JK 触发器来实现特性方程为AB Q A Q n n +=+'1,则JK 端的方程为( AB )

。 A 、J=AB ,K=)''(B A + B 、J=AB ,K='AB C 、J=)''(B A +,K=AB D 、J='AB ,

K=AB

11、若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用(C )个触发器。

A、2

B、3

C、4

D、10

二、填空题

1、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。

2、数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路。

3、由四位移位寄存器构成的顺序脉冲发生器可产生4 个顺序脉冲。

4、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时序电路和异步时序电路。

第七章半导体存储器

知识点1:ROM、RAM的结构、组成、工作原理

知识点2:ROM和RAM存储容量的扩展(位扩展法、字扩展法)

知识点3:用ROM实现组合逻辑电路的设计

一、选择题

1、一个容量为1K×8的存储器有(BD )个存储单元。

A、8

B、8K

C、8000

D、8192

2、要构成容量为4K×8的RAM,需要(D )片容量为256×4的RAM。

A、2

B、4

C、8

D、32

3、寻址容量为16K×8的RAM需要(C )根地址线。

A、4

B、8

C、14

D、16

E、16K

4、若RAM的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输出线(即字线加位线)共有(C )条。

A、8

B、16

C、32

D、256

5、某存储器具有8根地址线和8根双向数据线,则该存储器的容量为(C )。

A、8×3

B、8K×8

C、256×8

D、256×256

6、随机存取存储器具有(A )功能。

A、读/写

B、无读/写

C、只读

D、只写

7、欲将容量为128×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为(D )。

A、1

B、2

C、3

D、8

8、欲将容量为256×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输入端数为(B )。

A、4

B、2

C、3

D、8

9、只读存储器ROM在运行时具有(A )功能。

A、读/无写

B、无读/写

C、读/写

D、无读/无写

10、只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容(D )。

A、全部改变

B、全部为0

C、不可预料

D、保持不变

11、随机存取存储器RAM 中的内容,当电源断掉后又接通,存储器中的内容( C )。

A 、全部改变

B 、全部为1

C 、不确定

D 、保持不变

12、用若干RAM 实现位扩展时,其方法是将( ACD )相应地并联在一起。

A 、地址线

B 、数据线

C 、片选信号线

D 、读/写线

13、PROM 的与陈列(地址译码器)是( B )。

A 、全译码可编程阵列

B 、全译码不可编程阵列

C 、非全译码可编程阵列

D 、非全译码不可编程阵列

二、填空题

1、存储器的 存储容量 和 存取时间 是反映系统性能的两个重要指标。

3、用ROM 实现下列一组逻辑函数。

第十一章 数/模和模/数转换

知识点1:A/D 转换器的基本原理(采样、保持、量化和编码)

知识点2:逐次逼近型模数转换器(组成、工作原理)

知识点3:A/D 转换器的主要技术指标

一、选择题

1、一个无符号8位数字量输入的DAC ,其分辨率为( D )位。

A 、1

B 、3

C 、4

D 、8

2、4位倒T 型电阻网络DAC 的电阻网络的电阻取值有( B )种。

A 、1

B 、2

C 、4

D 、8

3、为使采样输出信号不失真地代表输入模拟信号,采样频率s f 和输入模拟信号的最高频率

ax f Im 的关系是( C )

。 A 、s f ≥ax f Im B 、s f ≤ax f Im C 、s f ≥2ax f Im D 、s f ≤2ax f Im

4、将一个时间上连续变化的模拟量转换为时间上(离散的模拟量的过程称为( A )。

A 、采样

B 、量化

C 、保持

D 、编码

5、用二进制码表示指定离散电平的过程称为( D )。

A 、采样

B 、量化

C 、保持

D 、编码

C

AB BC C A Y C B BC AB Y BC AC AB Y B A Y ''''''4321++=++=++=⊕=

6、将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为( B )。

A 、采样

B 、量化

C 、保持

D 、编码

7、若某ADC 取量化单位△=REF V /8,并规定对于输入电压I u ,在0≤I u <REF V /8时,认为输入的模拟电压为0V ,输出的二进制数为000,则5REF V /8≤I u <6REF V /8时,输出的二进制数为( B )。

A 、001

B 、101

C 、110

D 、111

8、以下四种转换器,( A )是A/D 转换器且转换速度最高。

A 、并联比较型

B 、逐次逼近型

C 、双积分型

D 、施密特触发器

二、填空题

1、将模拟信号转换为数字信号,需要经过 采样 、 保持 、 量化 、编码 四个过程。

1、试说明A/D 转换过程的基本原理。(见PPT )

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑知识点总结

1、三极管的截止条件是V BE <0.5V ,截止的特点是I b =I c ≈0;饱和条件是 I b ≥(E C -Vces )/(β·R C ),饱和的特点是V BE ≈0.7V ,V CE =V CES ≤0.3V 。 2、逻辑常量运算公式 3、逻辑变量、常量运算公式 4、 逻辑代数的基本定律 根据逻辑变量和逻辑运算的基本定义,可得出逻辑代数的基本定律。 ①互非定律: A+A = l ,A ? A = 0 ;1=+A A ,0=?A A ; ②重叠定律(同一定律):A ? A=A , A+A=A ; ③反演定律(摩根定律):A ? B=A+B 9 A+B=A ? B B A B A ?=+,B A B A +=?; ④还原定律: A A = ch2. 1、三种基本逻辑是与、或、非。 2、三态输出门的输出端可以出现高电平、底电平和高阻三种状态。

1、组合电路的特点:电路任意时刻输出状态只取决于该时刻的输入状态,而与该时刻前的电路状态无关。 2、编码器:实现编码的数字电路 3、译码器:实现译码的逻辑电路 4、数据分配器:在数据传输过程中,将某一路数据分配到不同的数据通道上。 5、数据选择器:逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。 6、半加器:只考虑两个一位二进制数相加,而不考虑低位进位的运算电路。 7、全加器:实现两个一位二进制数相加的同时,再加上来自低位的进位信号。 8、在数字设备中,数据的传输是大量的,且传输的数据都是由若干位二进制代码0和1组合而成的。 9、奇偶校验电路:能自动检验数据信息传送过程中是否出现误传的逻辑电路。 10、竞争:逻辑门的两个输入信号从不同电平同时向相反电平跳变的现象。 11、公式简化时常用的的基本公式和常用公式有(要记住): 1)()()C A B A BC A ++=+ 2)B A AB += B A B A +=+ (德.摩根定律) 3)B A B A A +=+ 4)B A AB BC B A AB +=++ 5)AB B A B A B A +=+ B A B A AB B A +=+ 12、逻辑代数的四种表示方法是真值表、函数表达式、卡诺图和逻辑图。 ch4. 1、触发器:具有记忆功能的基本逻辑单元。 2、触发器能接收、保存和输出数码0,1。各类触发器都可以由门电路组成。 3、基本触发器特点 1)有两个稳定状态和两个互补的输出。 2)在输入信号驱动下,能可靠地确定其中任一种状态。 4、基本RS 触发器特性表 -R -S Q -Q 说明 0 1 0 1 置0 1 0 1 0 置1 1 1 0或1 1或0 保持原来状态 0 0 1 1 不正常状态,0信号消失后,触发器状态不定

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

“数字逻辑”试题复习资料

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为 ( 001101) 2 , 问对应的8-bit的补码为 ( 00001101 )2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

科润综合电信业务管理系统

计 费 说 明 书 南京科润科技有限公司

目录 第一章系统的启动和密码服务 (4) 1.1 系统的启动 (4) 1.2 修改密码 (4) 第二章公共模块 (5) 2.1 功能模块注册 (5) 2.2 系统菜单设置 (5) 2.3 数据字典 (6) 2.4 创建新表 (7) 2.5 通用数据查询 (8) 2.5 报表管理 (9) 第三章系统设置 (10) 3.1用户和组设置 (10) 3.1分机卡片 (12) 3.1分机档案 (13) 第四章计费系统 (15) 4.1 计费分拣 (15) 4.1.1 路由设置 (15) 4.1.2采集站点设置 (15) 4.1.3 话单格式设置 (16) 4.1.4 话单集中分拣 (16) 4.2 费率设置 (17) 4.2.1 添加运营商 (17) 4.2.2 节假日优惠设置 (18) 4.2.3 话单费率管理 (19) 4.2.4 用户费率调整 (20) 4.3 查询统计 (21) 4.3.1明细话单查询 (21) 4.3.2总汇查询 (21) 4.4 帐务处理 (22) 4.4.1话费汇总 (22) 4.4.2重新批价 (23) 4.5 参数设置 (23) 4.5.1 费用结构设置 (23) 4.5.2 其他参数设置 (24) 第五章收费系统 (25) 5.1 账号管理 (25) 5.2 导入收费帐单 (32) 5.3 设置滞纳金 (33) 5.4 缴费 (34) 第六章升降级系统 (35) 6.1 启动升降级系统 (35) 6.2如何对分机控费 (35) 第七章号线管理 (40)

7.1线路管理 (40) 7.2设备管理 (41) 7.4 电路查询 (42) 第八章业务受理系统 (43) 8.1业务设置 (43) 8.2 业务操作 (44) 8.3 业务特殊处理 (46) 8.4 业务查询 (48) 8.4.1历史故障查询 (48) 8.4.2 其他查询 (49) 第九章语音平台 (50) 9.1 拨打规则设置 (50) 9.2 外拨数据管理 (51) 9.3 其他查询 (53)

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑感想

数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。 首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。 回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。 不过说实话,在做试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会很难,做完实验之后两下子就将实验报告写完,直到做完几次实验后,我才知道其实并不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很顺利的完成实验,事实证明我错了。在最后的综合实验中,我更是受益匪浅。

学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

{业务管理}中国联通电信业务系统总体方案

{业务管理}中国联通电信业务系统总体方案

中国联通电信业务综合营业帐务系统总体方案(征求意见稿) 中国联通 2001年4月

目录 一、总体概述1 1.概述1 2.编写的目的3 3.适用范围3 4.方案起草单位4 5.方案解释权4 6.参考文献4 二、建设目标及原则5 1.总体建设目标5 2.建设原则6 3.技术原则7 三、系统体系结构8 1.系统总体结构8 图1:中国联通综合电信业务支撑系统总体结构8 2.系统描述8 四、综合营帐系统功能10 1.综合帐务10 图2:综合帐务功能结构10 2.综合营业13

图3:综合营业功能结构13 3.缴费15 图4:缴费功能机构图16 4.统一客户资料17 图5:统一客户资料数据沉淀18 5.信用管理/防欺诈20 图6:信用管理/防欺诈功能结构20 五、系统接口22 1.接口原则22 2.接口实现方式23 3.内部接口24 4.外部系统接口25 5.与现有营帐系统的接口26 六、系统实施27 1.系统实施总体思路27 图7:综合营帐系统功能结构图27 2.实施方式28 图8:综合营帐实施方式示意图29 3.实施中各业务关键问题30 七、业务量估算35 1.估算依据35

2.业务量估算39 八、工程建设方案44 1.广域组网方案44 2.局域网方案44 3.应用软件体系结构与模块划分45 4.设备配置45 5.系统技术要求46 6.系统安全与管理48 7.机房场地条件51 8.配套电源52 9.人员编制与培训53 10.资金来源53 九、工程建设进度54 十、投资估算55 1.估算依据55 2.投资估算55

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

电信业务解决方案

一、引言 随着以客户为中心、以市场为导向、以效益为目标的电信企业运营战略清晰化,企业信息化支撑体系被明确定义为由面向企业管控的管理支撑系统(MSS)、面向客户和业务及业务支撑系统(BSS)和面向网络运维的运营支撑系统(OSS)组成。其核心是要实现企业信息的完全共享和端到端业务流程自动化,在统一的企业数据架构和应用集成架构下,彻底解决垂直应用体系造成的信息孤岛,保障企业战略目标的顺利实现。 围绕这个美好的远景,西安协同软件结合公司多年来的行业经验,以自有中间件产品SynchroFlow为核心,提出电信级业务流程平台解决方案,供大家参考借鉴。 二、SynchroFlow平台介绍 协同工作流管理系统(SynchroFLOW)自1997年开始进行研究,至今已经有7年多时间,历经1.0,1.3,2.0, 3.0,3.2等多个版本,尤其是2000年初推出的3.0版本也已运行在很多的国内大型项目中,同时也已经远销日本,走向国际市场。 SynchroFLOW基于863项目成果,采用java的技术路线,J2EE架构,独有的信牌驱动Petri-net工作流控制模型,完全遵循WfMC标准;有力的简化应用开发的步骤,降低应用开发的难度,提高应用开发的效率及灵活性,节约应用开发的成本,从而极大的提高应用开发的生产力,为企业的业务流程自动化以及企业流程再造提供坚实的基础平台。 "轻松提速应用开发,灵活再造企业流程"是SynchroFLOW追求的目标,是产品的核心理念。 SynchroFLOW作为一个中间件产品,为企业工作流自动化和企业流程再造提供了一个基础平台,运用SynchroFLOW开发的企应用系统,为开发者提供全新的开发模式,为您的企业带来新的活力。 2.1 SynchroFlow产品结构图 平台核心运行服务器是应用控制和运行的中心,负责解释、控制并协调各种复杂工作流程的执行并且同步各个客户端的反应。为客户端和监控管理提供所需各种服务。 同时,Synchroflow作为开发平台,提供大量的图形化的开发工具: * 过程建模工具:使用图形化的方式,让开发者用最少的时间设计或修改单位工作流程,建模工具提供了相当丰富的流程逻辑表达方式,可以表示非常复杂的流程。 * 表单设计工具:系统提供了类似于页面设计的图形化应用开发工具,用户可以使用图形化设计方法,开发出动态页面应用,其结果可保存为html或jsp,并具有数据库访问能力。 * 应用开发框架:应用开发框架为用户提供了一个全新的应用发布框架,可以方便地将

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数电学习数字电路学习心得体会

数电学习数字电路学习心得体会 学习数字电路之心得体会 不知不觉中,本学期数字电路的学习就要结束了,现在回想一下, 到底学了哪些东西呢?如果不看书的话,真有点记不住学习内容的先 后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。 其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,都是一些基础的东西,没有多大的难度,学习起来也相对轻松。

第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现 特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。 我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有SR锁存器,D触发器,JK触发器,每种触发器有不同的功能,其次,触发器还有不同的触发方式,很容易弄混淆,

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

电信运营商IT系统介绍

电信运营商IT系统介绍 BSS:Business support system 业务支撑系统 OSS:Operation support system 运营支撑系统 MSS:Management Support System 管理支撑系统 (前二者又合称BOSS:Business and Operation support system ,电信业务运营支持系统)在企业(特别在是电信行业)IT战略规划中占据了重要地位的三大支柱内容.对于BOSS,面对客户是统一的;面对电信运营商,它融合了业务支撑系统(BSS)与运营支撑系统(OSS),是一个综合的业务运营和管理平台,同时也是真正融合了传统IP数据业务与移动增值业务的综合管理平台。BSS是面向运营商业务和服务的,而OSS是对BSS提供技术(特别是计算机技术)支撑和管理的。有了BSS才会有OSS。从辩证法角度看,BSS决定OSS,但OSS对BSS起反作用,二者缺一不可。 当前,面对用户需求的变化以及国家加快实施国民经济和社会信息化战略的形势,运营商按照原有的业务和服务模式将难以满足需求,从“提供单纯的通信服务”向提供“综合信息服务”的转型成为我国运营商的共同选择。然而,在拓展新市场的过程中,运营商不仅要提供不同种类的业务,与此相配套地,还要提供不同的业务组合模式、计费模式、服务模式。这些均离不开IT支撑系统的支持。伴随电信业的快速成长,IT支撑系统得到了快速发展,但在建设、部署以及应用过程中,暴露出很多问题,有些问题已经严重影响了系统的可持续发展,IT支撑系统无论从架构、能力还是服务水平上都难以满足发展的需要,系统必须实现转型,甚至重构。可以说,IT支撑系统是电信运营的“中枢神经系统”,IT支撑系统的转型将是电信转型的重要组成部分。 从目前我国运营商的实践来看,对IT支撑系统的认识基本是一致的,即包括BSS、OSS、MSS 三个子系统。三个子系统在整个IT支撑系统中承担不同的责任,同时彼此之间关联。整个IT支撑系统能力的提高需要各个子系统能力的同步提高。 业务支撑系统BSS 随着市场环境的迅速变化和竞争的日益加剧,业务支撑系统BSS(BillingSupportingSystem)已成为各大电信运营企业竞争的焦点,从中国移动的BOSS 到中国电信的CTG-BOSS,各电信运营商都在逐年加大对业务支撑系统BSS的投资。从电信行业角度分析,尽管BSS系统投资巨大,但总体的运行效率还相对较低。因此必须采取主动式管理思路来提升BSS的性能。主动式管理是指主动地去管理BSS系统,包括提升系统性能、优化数据以及提高运行质量等,而非“救火式”系统管理。在被动的响应情况下,管理维护人员在故障发生后才去处理故障,而故障可能已经造成了一定程度的损害。主动式管理的目的是主动地发现和解决系统可能出现的问题,消除系统潜在的风险,延长系统的使用周期,从而进一步提高业务和系统的运作效率,增强客户满意度。对BSS系统进行主动式管理涉及技术、管理、维护等方面。 有效地利用设备与系统投资是实现精益运营的基本要求之一,提高BSS系统运行效率应该针对各种可能导致系统运行效率降低的原因进行详细分析,主动对BSS系统进行有效管理和维护,从而提高整个系统的稳定性和高可用性,降低设备故障率,减少资源和成本的浪费,保障各业务系统平稳、健康地运行。作为提升BSS系统运行效率的一种管理方式,主动式管理涵盖的范围非常广泛,涉及需求管理、进度管理、资源管理、后评估管理等很多项目管理理论的内容。

数字逻辑课程设计

《数字逻辑课程设计》 实验报告书 专业班级计算机科学与技术074班 学号 姓名 指导教师徐国雄 安徽工业大学计算机学院

数字逻辑课程设计之数字钟 一.设计目的 1.掌握各类计数器及将它们相连的方法; 2.掌握多个数码管动态显示的原理与方法; 3.掌握用FPGA技术的层次化设计方法; 4.进一步掌握用VHDL硬件描述语言的设计思想; 5.了解有关数字系统的设计。 二.设计要求 1、显示时、分、秒的十进制数字显示,采用24小时制; 2、校时功能; 三.选用器材 EDA-V试验箱 四.原理分析和电路设计 1.时钟脉冲 时钟脉冲有EDA-V试验箱上的时钟脉冲提供,分别对计时电路和扫描 LED电路提供时钟脉冲信号。 2.计数器 计数器采用4位二进制同步加法计数器74LS161,如下图所示。分别对秒 钟、分钟和时钟进行控制。当74161的两个使能端输入为高电平,同时 LDN和CLRN端为高电平时,输入时钟脉冲时,计数器会在时钟脉冲上升沿的作用下进行循环加一运算,正好符合电子钟在正常计时时的工作特点;当CLRN端为低电平时,无需时钟脉冲的作用,计数器的输出将自动变为0,这就是所谓的异步清零,这个功能可以提供我们当秒钟的后半部分到达10需要返回到0时,将其置为0的功能;当CLRN端为高电平,LDN端为低电平时,在时钟脉冲的上升沿的推动下,计数器的输出将直接由输入决定,这可以满足我们设置时间的要求。 3.译码显示电路 译码显示采用自己定义的两个用AHDL语言描述的自定义元件半字节分离器和扫描显示电路驱动DELED 。 图像分别如下: 描述文件如下: Half_byte:

SUBDESIGN half_byte ( din[23..0]:input; s[2..0]:input; dout[3..0]:output; ) begin if s[2..0]==0 then dout[3..0]=din[3..0]; end if; if s[2..0]==1 then dout[3..0]=din[7..4]; end if; if s[2..0]==2 then dout[3..0]=din[11..8]; end if; if s[2..0]==3 then dout[3..0]=din[15..12]; end if; if s[2..0]==4 then dout[3..0]=din[19..16]; end if; if s[2..0]==5 then dout[3..0]=din[23..20]; end if; end; DELED: SUBDESIGN deled ( num[3..0]:INPUT; a,b,c,d,e,f,g:OUTPUT; ) BEGIN TABLE num[3..0]=>a,b,c,d,e,f,g; H"0" =>1,1,1,1,1,1,0; H"1" =>0,1,1,0,0,0,0; H"2" =>1,1,0,1,1,0,1; H"3" =>1,1,1,1,0,0,1; H"4" =>0,1,1,0,0,1,1; H"5" =>1,0,1,1,0,1,1; H"6" =>1,0,1,1,1,1,1; H"7" =>1,1,1,0,0,0,0; H"8" =>1,1,1,1,1,1,1; H"9" =>1,1,1,1,0,1,1; H"A" =>0,0,1,1,1,1,1; H"B" =>0,0,1,1,1,1,1; H"C" =>1,0,0,1,1,1,0; H"D" =>0,1,1,1,1,0,1; H"E" =>1,0,0,1,1,1,1; H"F" =>1,0,0,0,1,1,1; END TABLE; END; 半字节分离器的SEL[0..24]端输入的分别是时分秒的8421码的输入端口,SE[0..2]端输入的是从一个74161输入的选择信号,依次扫描六个LED灯。 2

相关文档
相关文档 最新文档