文档视界 最新最全的文档下载
当前位置:文档视界 › 微机实验报告234 debug应用

微机实验报告234 debug应用

微机实验报告234  debug应用
微机实验报告234  debug应用

微机原理实验报告(二、三、四)

实验二

现有(DS)=2000h,(BX)=0100h,(SI)=0002h,

(20100)=12h,(20101)=34h,(20102)=56h,(20103)=78h,

(21200)=2Ah, (21201)=4ch,(21202)=B7h,(21203)=65h。

(初始数据显示)

(完成DS=2000h,bx=0100h,SI=0002h)

(完成20100-20103四个单元的赋值)

(完成对21200-21203四个单元的赋值)

(完成赋值后最终结果)

请说明下列指令执行后,AX寄存器的内容。

(movax,1200h,执行后AX=1200h;执行movax,[bx]h后AX=3412,因为DS:0100=3412)

(执行MOVax,[1200]后,由DS:1200=4c2a得AX=4c2a)

(执行movax,[bx+1100]即movax,1100[bx]后AX=4

c2a)

(执行movax,bx指令后AX=0100)

(执行指令movax,[bx+si]即movax,[bx][si]后,AX=7

856)

写出下列计算的指令序列,其中X,Y,Z,R,W均为存放带符号数单元的地址。

Z=W+(Z-X)

【MOV AX,[Z]

SUB AX,[X]

ADD AX,[W]

MOV [Z],AX】

(其中假设Z=21,X=10,W=5,则运算结果为16,正确)

Z=((W-X)/5*Y)*2

【 MOV AX,[W]

IDIV BX;直接是5H无法运算,AX,5可运算但是其实质运算形式为IDIV AX IMUL BX

IMUL CX

MOV [Z],AX】

(BX、CX分别要被r bx/cx赋值,假设W=21,X=11,Y=BX=5,可得运算结果AX=1E=30) 写出存放在DX和AX中的双字长数求补指令序列。

【NEG DX

DEC DX

(设DX=1234H,AX=5678H,其双子长求补得DX=EDCBH,AX=A988H) 4、对照例题习题练习所有算术运算类指令

(加法指令,设AX=A988H,BX=1234H,相加存入AX=BBBC)

(减法指令,相减结果存入AX=A988H)

(乘法指令,设AX=A988H,BX=1234H,相乘存入AX=FFA0H)

(除法指令,设AX=FFA0H,BX=1234H,相除结果存入AX=A988H)

实验三

1、练习逻辑及移位指令

逻辑指令:

(1),逻辑与指令AND

(假设AX=1234,BX=5678.执行AND后AX=1230)

(2)逻辑或指令OR

(假设AX=1230,BX=5678,执行OR后AX=5678)(3)逻辑非指令NOT

(假设AX=1234,执行NOT指令后AX=EDCB)(4)逻辑异或指令XOR

(假设AX=1122,BX=3344,执行XOR后AX=2266)

(5)检测指令TEST

(测试AL的最高位D7是否为1,设AL=24)移位指令

(1)算术右移SAR

(将BX=ABCD右移四位得BX=FABC)

逻辑左移SHL

(设AX=1234执行SHL后得AX=2340)

逻辑右移SHR

(设AX=DCBA执行SHR后得AX=0DCB)2、练习串操作相关指令,掌握指令及重复前缀的使用规则

(串地址定义与修改)

(E是对字符串地址单元赋值,D 首地址是列表输出字符串列表。)3. 完成课后习题3-13(编写出程序片段)

在16/32位CPU中标志寄存器的内容进栈指令是pushf,出栈的指令是popf

(进栈指令PUSH和出栈指令POP)

实验四

1、练习无条件转移例题,观察IP及CS寄存器内容的变化。

(JMP 偏移量,执行之后IP换成偏移量的值,CS为其执行指令的当前代码值。当执行JMP 标号如AX时,对其并没有影响。)

2、练习条件转移指令,并观察转移与标志位(ZF,SF)等的关系。

(如图所示,jnz 25f6表示不为零成则执行25f3即下一条指令,否则转向执行25f6指令。其中零标志位ZF为ZR,转移时为NZ,符号标志位SF在此实验中恒为PL即无符号不变。)

3使用循环指令LOOP,LOOPZ,LOOPNZ观察相关CX,ZF变化规律,掌握使用方法

例:计算1+2+3+...+100的和

assume cs:code

code segment

start:

mov ax,1

mov bx,2

mov cx,99

L:

add ax,bx

inc bx

loop L

mov ah,4ch

int 21h

code ends

end start

(LOOP是循环指令,LOOPZ是相等循环指令,LOOPNZ是不等循环指令。)

4.完成课后习题3-31解释程序转向的原因。

编写指令序列,在字符串LIST 中查字符‘B’,若找到则转向FOUND,否则转向NOT FOUND DATA SEGMENT

LIST DB 'sfdgfhjmsfhgfAmg'

STRING1 DB 'Found$'

STRING2 DB 'NoFound$'

DATA ENDS

STACK SEGMENT STACK

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK

START:

MOV AX,DATA

MOV DS,AX

MOV CX,100D

LEA BX,LIST

L1:

MOV AL,[BX]

INC BX

CMP AL,'A'

LOOPNZ L1

JZ Found

NoFound:

MOV DX,OFFSET STRING2

JMP PRINT

Found:

MOV DX,OFFSET STRING1

PRINT:

MOV AH,09H

INT 21H

MOV AH,4CH

INT 21H

CODE ENDS

END START

[mov al,’b’

Lea di,list;mov di,offset list本质上还是求偏移地址与存到di还是其他寄存器无关,故但是ds:di指向的内容是list

Cld

Mov cx,300

Repne scasb;不等重复前缀,判断条件CX!=0且ZF=0,当条件不成立,结束重复操作,当条件成立时,CX=CX-1并执行其后的字符串指令和循环

Jz found

Jnz not found]

转向原因:观察零标志位ZF,如果ZF=1则找到,如果ZF=0则没找到。

5.习题3-33

编写短指令序列,将32位数AX:BX中8位BCD码与CX:DX中的8位BCD相加,结果存入CX:DX中

ADD DX,BX

ADC CX,AX

(假设AX=1214,BX=ABCD,CX=2523,DX=1234那么这两个双字相加得CX=3737,DX=BE01)

微机原理课程设计电压报警器实验报告

南通大学电子信息学院 微机原理课程设计 报告书 课题名: 班级: 姓名: 学号: 指导老师: 日期: xxx

目录 1.设计目的 (2) 2.设计内容 (2) 3.设计要求 (2) 4.设计原理 (3) 5.硬件电路图 (3) 6.程序代码 (5) 7.程序及硬件系统调试情况 (19) 8.设计总结与体会 (19)

一、设计目的 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力的重要教学环节。它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一个重要教学环节。 通过课程设计,要求学生熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练掌握微机系统与接口扩展电路的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的硬软件调试方法和步骤,熟悉微机系统的硬软件开发工具的使用方法。 通过课程设计实践,不仅要培养学生事实求是和严肃认真的工作态度,培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅资料,撰写设计报告表达设计思想和结果的能力。 二、设计内容 设计一个电压报警器,要求采集实验箱提供的0~5V的电压,当输入电压在3V以内,显示电压值,如2.42。当输入电压超过3V,显示ERR,并报警。电压值可在七段数码管显示,点阵广告屏显示或液晶屏显示。报警形式自行设计,

微机控制技术实验报告

《微机控制技术》课程设计报告 课题:最少拍控制算法研究专业班级:自动化1401 姓名: 学号: 指导老师:朱琳琳 2017年5月21日

目录 1. 实验目的 (3) 2. 控制任务及要求 (3) 3. 控制算法理论分析 (3) 4. 硬件设计 (5) 5. 软件设计 (5) 无纹波 (5) 有纹波 (7) 6. 结果分析 (9) 7. 课程设计体会 (10)

1.实验目的 本次课程设计的目的是让同学们掌握微型计算机控制系统设计的一般步骤,掌握系统总体控制方案的设计方法、控制算法的设计、硬件设计的方法。学习并熟悉最少拍控制器的设计和算法;研究最少拍控制系统输出采样点间纹波的形成;熟悉最少拍无纹波控制系统控制器的设计和实现方法。复习单片机及其他控制器在实际生活中的应用,进一步加深对专业知识的认识和理解,使自己的设计水平、对所学知识的应用能力以及分析问题解决问题的能力得到全面提高。 2.控制任务及要求 1.设计并实现具有一个积分环节的二阶系统的最少拍有纹波控制和无纹波控制。 对象特性G (s )= 采用零阶保持器H 0(s ),采样周期T =,试设计单位阶跃,单位速度输入时的有限拍调节器。 2.用Protel 、Altium Designer 等软件绘制原理图。 3.分别编写有纹波控制的算法程序和无纹波控制的算法程序。 4.绘制最少拍有纹波、无纹波控制时系统输出响应曲线,并分析。 3.控制算法理论分析 在离散控制系统中,通常把一个采样周期称作一拍。最少拍系统,也称为最小调整时间系统或最快响应系统。它是指系统对应于典型的输入具有最快的响应速度,被控量能经过最少采样周期达到设定值,且稳态误差为定值。显然,这样对系统的闭环脉冲传递函数)(z φ提出了较为苛刻的要求,即其极点应位于Z 平面的坐标原点处。 1最少拍控制算法 计算机控制系统的方框图为: 图7-1 最少拍计算机控制原理方框图 根据上述方框图可知,有限拍系统的闭环脉冲传递函数为: ) ()(1)()()()()(z HG z D z HG z D z R z C z +==φ (1) )(1)()(11)()()(1z z HG z D z R z E z e φφ-=+== (2) 由(1) 、(2)解得:

微机原理与接口技术实验报告

微机原理与接口技术实验报告 班级:自动化(铁道信号) 姓名: ***** 学号: 1121**** 授课教师:福恩

目录 1.实验一 (3) 2. 实验二 (8) 3.实验三 (13) 4.实验四 (22) 5.实验五 (26) 6.实验六 (33) 7.参考文献 (38)

实验一交通灯控制实验 一.实验目的 通过应用接口技术设计十字路口、复杂路口交通灯控制系统,学会应用“微机原理与接口技术”课程所学的X86汇编语言和接口技术掌握可编程并行接口芯片的硬件设计、软件编程,实现十字路口交通灯的模拟控制并思考计算机如何应用在各种控制系统中。 (1)掌握利用X86汇编语言技巧 (2)掌握X86微处理器与可编程并行接口芯片8255A硬件电路设计 (3)熟悉模拟交通灯控制的实现方法并思考如何应用在实际中。 二.实验容 设计一个交通控制系统,该控制系统工作后,交通灯按照如下规律变化: (1)南北路口的绿灯、东西路口的红灯同时亮3秒左右。 (2)南北路口的黄灯闪烁若干次,同时东西路口的红灯继续亮。 (3)南北路口的红、东西路口的绿灯同时亮3秒。 (4)南北路口的红灯继续亮、同时东西路口的黄灯亮闪烁若干次。 (5)返回(1)依次循环。 三.实验电路 如下图,L7、L6、L5作为南北路口的交通灯与PC7、PC6、PC5相连,L2、L1、L0作为东西路口的交通灯与PC2、PC1、PC0相连。编程使六个灯按交通灯变化规律燃灭。 8255动态分配地址: 控制寄存器:0EC0BH A口地址: 0EC08H C口地址: 0EC0AH

红黄绿红黄绿 图1-1 交通灯实验电路图四.程序流程图 五.源程序 CODE SEGMENT ASSUME CS:CODE ;********************************** 工作状态控制字设置 START: MOV DX,0EC0BH ;写控制端口,地址0EC0BH MOV AL,10010000B ;C口方式0输出 OUT DX,AL

计算机控制系统实验报告

南京理工大学 动力工程学院 实验报告 实验名称最少拍 课程名称计算机控制技术及系统专业热能与动力工程 姓名学号 成绩教师任登凤

计算机控制技术及系统 一、 实验目的及内容 通过对最少拍数字控制器的设计与仿真,让自己对最少拍数字控制器有更好的理解与认识,分清最少拍有纹波与无纹波控制系统的优缺点,熟练掌握最少拍数字控制器的设计方法、步骤,并能灵巧地应用MATLAB 平台对最少拍控制器进行系统仿真。 (1) 设计数字调节器D(Z),构成最少拍随动控制系统,并观察系统 的输出响应曲线; (2) 学习最少拍有纹波系统和无纹波系统,比较两系统的控制品质。 二、实验方案 最少拍控制器的设计理论 r (t ) c(t ) e*(t) D (z) E (z) u*(t) U (z) H 0(s )C (z) Gc (s ) Φ(z) G(z) R(z) 图1 数字控制系统原理图 如图1 的数字离散控制系统中,G C (S)为被控对象,其中 H(S)= (1-e -TS )/S 代表零阶保持器,D(Z)代表被设计的数字控制器,D(Z)的输入输出均为离散信号。 设计步骤:根据以上分析 1)求出广义被控对象的脉冲传递函数G (z ) 2)根据输入信号类型以及被控对象G (z )特点确定参数q, d, u, v, j, m, n 3)根据2)求得参数确定)(z e Φ和)(z Φ 4)根据 )(1) ()(1)(z z z G z D Φ-Φ= 求控制器D (z ) 对于给定一阶惯性加积分环节,时间常数为1S ,增益为10,采样周期T 为1S 的对象,其传递函数为:G C (S) =10/S(S+1)。 广义传递函数: G(z)=Z [])()(s G s H c ?=Z ?? ?????--)(1s G s e c Ts =10(1-z -1 )Z ??????+)1(12s s =3.68×) 368.01)(1() 717.01(1 111------+z z z z

微机原理与接口技术实验报告

新疆农业大学机械交通学院 实习(实验)报告纸 班级:机制072 学号: 073731234 姓名:唐伟 课程名称:微机原理及接口技术实习(实验)名称: DEBUG软件的使用 实验时间: 6.22 指导教师签字:成绩: —、实验目的 1.学习DEBUG软件的基本使用方法。 2.掌握8088/8086的寻址方式。 3.掌握数据传送、算术运算逻辑运算等类指令的基本操作。 二、实验内容与步骤 实验内容: 修改并调试以下程序,使之完成30000H开始的内存单元中存入31个先自然递增然后有自然递减的数据(00H~0F~00H)的功能。程序从CS:0100H开始存放。调试完成后程序命名为PCS.EXE并存盘。 实验步骤: (1)用A命令输入程序; (2)用反汇编U命令显示程序及目标码; 存盘程序命令为PCS1.EXE;

三、思考题 1.EXE文件程序的第一条可执行指令的IP等于多少? 答:EXE文件程序的第一条可执行指令的IP等于0010 。 2.在DEBUG环境下显示的程序和数字是什么形式?标号又是什么形式? 答: DEBUG把所有数据都作为字节序列处理。因此它可以读任何类型的文件。DEB UG可以识别两种数据: 十六进制数据和ASCⅡ码字符。它的显示格式是各个字节的十六进制值以及值在32与126之间的字节的相应ASCⅡ码字符。DEBUG总是用四位十六进制数表示地址。用两位数表示十六进制数据。不支持标号。 3.试述本次实验中你学会的DEBUG命令? 答:本次试验我学会了汇编命令(A命令)、.反汇编命令(U命令)、显示当前环境和寄存器内容(R命令、以十六进制和ASCII码形式显示内存单元内容(D命令)

微机课设实验报告

微机课程设计 数字温度计实验报告

一、题目: 上位机:完成界面设计与通讯程序 1、能够显示两个温度值,温度精度0.5度,当超出报警温度阈值时,温度 值后又提示字幕。 2、能够设定报警温度阈值 3、每隔一秒将温度值保存至文件存档。当超出报警温度阈值时,温度值后 面有提示。 4、可以对串口进行设置。 下位机:完成电路设计与控制程序 1、用两个DS18B20测温。 2、通过串口与上位机通信,并传输温度值,接受阈值设置。 3、当超出报警温度阈值时,有相应指示灯提示。 4、将当前温度显示LCD1602液晶屏上,当超出报警温度阈值时,温度值后 有提示。 二、原理 DS18B20是DALLS公司推出的“1—wire”接口的数字温度传感器,可以直接将温度转换为9~12串行信号供单片机处理。由于这种传感器只有一个IO口,是单总线串行接口,单片机可以利用串行通信将数据读出并按照LCD 的协议显示在1602液晶屏上。同时,通过PC机与单片机之间的串行通信,可以用PC机控制温度的警戒值以及记录不同时间测量的温度。 三、原理图 图3.1 LCD、18B20以及串口与单片机最小系统连接图

图3.2电源模块 四、流程图 1、上位机流程图 图4.1.1发送数据流程图图4.1.2 接受数据流程图

2、下位机流程图 图4.2.2读出温度子程序流程图 图4.2.1总流程图 图4.2.4计算温度子程序流程图

图4.2.3 温度转换流程图 图4.2.6温度值显示在LCD1602上 图4.2.5 显示数据刷新子程序 五、源程序 1、上位机程序:见附录1; 2、下位机程序:见附录2; 3、实验结果显示(上位机):见附录3。

计算机控制系统实验报告

计算机控制系统实验报告 学院:核自院 姓名:李擂 专业:电气工程及其自动化 班级:电气四班 学号:201006050407

实验一采样实验 一.实验目的 了解模拟信号到计算机控制的离散信号的转换—采样过程。 二.实验原理及说明 采样实验框图如图4-3-1所示。计算机通过模/数转换模块以一定的采样周期对B5单元产生的正弦波信号采样,并通过上位机显示。 在不同采样周期下,观察比较输入及输出的波形(失真程度)。 图4-3-1采样实验框图 计算机编程实现以不同采样周期对正弦波采样,调节函数发生器(B5)单元的“设定电位器1”旋钮,并以此作为A/D采样周期T。改变T 的值,观察不同采样周期下输出波形与输入波形相比的复原程度(或失真度)。 对模拟信号采样首先要确定采样间隔。采样频率越高,采样点数越密,所得离散信号就越逼近于原信号。采样频率过低,采样点间隔过远,则离散信号不足以反映原有信号波形特征,无法使信号复原,。 合理的采样间隔应该是即不会造成信号混淆又不过度增加计算机的工作量。采样时,首先要保证能反映信号的全貌,对瞬态信号应包括整个瞬态过程;信号采样要有足够的长度,这不但是为了保证信号的完整,而且是为了保证有较好的频率分辨率。 在信号分析中,采样点数N一般选为2m的倍数,使用较多的有512、1024、2048、4096等。 三、实验内容及步骤 采样实验框图构成如图4-3-1所示。本实验将函数发生器(B5)单元“方波输出”作为采样周期信号,正弦波信号发生器单元(B5)输出正弦波,观察在不同的采样周期信号对正弦波采样的影响。 实验步骤: (1)将函数发生器(B5)单元的正弦波输出作为系统输入,方波输出作为系统采样周期输入。 ①在显示与功能选择(D1)单元中,通过上排右按键选择“方波/正弦波”的指示灯亮,(B5)模块“方波输出”测孔和“正弦波输出”测孔同时有输出。‘方波’的指示灯也亮,调节B5单元的“设定电位器1”,使之方波频率为80Hz左右(D1单元右显示)。 ②再按一次上排右按键,“正弦波”的指示灯亮(‘方波’的指示灯灭),B5的量程选择开关S2置上档,调节“设定电位器2”,使之正弦波频率为0.5Hz(D1单元右显示)。调节B5单元的“正弦波调幅”电位器,使之正弦波振幅值输出电压= 2.5V左右(D1单元左显示)。(3)构造模拟电路:按图4-3-1安置短路套及测孔联线,表如下。 (4)运行、观察、记录: ①再运行LABACT程序,选择微机控制菜单下的采样和保持菜单下选择采样实验项目,就会弹出虚拟示波器的界面,点击开始后将自动加载相应源文件,即可选用本实验配套的虚拟示波器(B3)单元的CH1测孔测量波形

微机原理实验报告

汇编语言程序设计实验 一、实验内容 1.学习并掌握IDE86集成开发环境的使用,包括编辑、编译、链接、 调试与运行等步骤。 2.参考书例4-8,P165 (第3版161页)以单步形式观察程序的 执行过程。 3.修改该程序,求出10个数中的最大值和最小值。以单步形式观 察,如何求出最大值、最小值。 4.求1到100 的累加和,并用十进制形式将结果显示在屏幕上。 要求实现数据显示,并返回DOS状态。 二、实验目的 1.学习并掌握IDE86集成开发环境的使用 2.熟悉汇编语言的基本算法,并实际操作 3.学会利用IDE86进行debug的步骤 三、实验方法 1.求出10个数中的最大值和最小值 (1)设计思路:利用冒泡法,先对数据段的10个数字的前2个比 较,把二者中大的交换放后面。在对第二个和第三个数比较,把 二者中较大的交换放后面,依此类推直到第十个数字。这样第十 位数就是10个数里面最大的。然后选出剩下9个数字里面最大 的,还是从头开始这么做,直到第九个数字。以此类推直到第一 个数字。

(2)流程图 2.求1到100 的累加和,并用十进制形式将结果显示在屏幕上。 要求实现数据显示,并返回DOS状态

(1)设计思路:结果存放在sum里面,加数是i(初始为1),进行 100次循环,sum=sum+I,每次循环对i加1. (2)流程图: 四、 1.求出10个数中的最大值和最小值

DSEG SEGMENT NUM DB -1,-4,0,1,-2,5,-6,10,4,0 ;待比较数字 DSEG ENDS CODE SEGMENT ASSUME DS:DSEG,CS:CODE START:MOV AX,DSEG MOV DS,AX LEA SI,NUM MOV DX,SI MOV CL,9 ;大循环计数寄存器初始化 NEXT1:MOV BL,CL ;大循环开始,小循环计数器初始化MOV SI,DX NEXT2:MOV AL,[SI+1] CMP [SI],AL ;比较 JGGONE ;如果后面大于前面跳到小循环末尾CHANGE:MOV AH,[SI] ;交换 MOV [SI+1],AH MOV [SI],AL JMP GONE GONE:add SI,1 DEC BL JNZ NEXT2

微机原理及接口技术实验报告

实验一 DEBUG命令及其基本操作 一.实验目的: 1.熟练掌握DEBUG的常用命令,学会用DEBUG来调试程序。 2.深入了解数据在存储器中的存取方法,了解堆栈中数据的压入与弹出方法。 3.掌握各种寻址方法,了解简单指令的执行过程。 二、实验容: 1.打开计算机,在WINXP操作系统下,点击“开始”,选择“运行”,在“打开”一栏中输入“debug”,运行DEBUG应用程序,将出现DEBUG的提示符“-”。 2.在DEBUG提示符后键入字母“-a100”,回车后,屏幕上就会出现下面类似的容: (具体到每个计算机所产生的段地址和偏移地址可能有所不同) 这表示在地址1383:0100处,DEBUG等待用户键入程序助记符,下面键入程序: 3.在DEBUG的提示符后键入G,这是执行程序的命令,然后回车,就可以看到结果了。 4.现在让我们用“d”命令来看一下存储器 在100H~107H这8个单元,程序覆盖了原来的容,右边的字符是组成程序的ASCII码等价字符,圆点表示不可显示字符。 5.下面我们用反汇编命令来查看一下所键入的程序。“U”命令的格式是“U起始地址,终止地址”,即把起始地址到终止地址之间的十六进制码反汇编成汇编语言助记符语句。键入: 大家在屏幕上不仅可以看到地址和助记符,而且还可以看到与汇编语言等价的机器语言。6.现在我们修改存储单元的容,看看显示情况和变化。 我们看到显示的结果发生了变化,这是因为DOS的2号功能调用是显示输入数据的ASCII 码字符。 7.下面我们给程序命名并存盘。在键入“n”后紧跟程序名及扩展名

因为文件的字节数必须事先放在BX和CX中,其中BX保存高16位,CX保存低16位,整个32位的数保存文件的字节数,一般来说,BX设置为0,因为CX可存放的字节数为64K,对于一般的程序已足够了。 8.结束后可用Q命令退出DEBUG程序。 三、实验习题 1.写出完成下列功能的指令序列 (1)传送20H到AL寄存器 (2)将(AL)*2 (3)传送25H到BL寄存器 (4)(AL)*(BL) 问最后的结果(AX)=? 单步执行结果: 2.分别写出完成下列指令要求的指令: (1)将BX的低4位清零; (2)将AX的高4位置1; (3)将DX的低4位取反; (4)将CX的中间八位清零; (5)将AX中与BX中的对应位不相同的位均置1.

微机原理课程设计报告

微型计算机技术课程设计 指导教师: 班级: 姓名: 学号: 班内序号: 课设日期: _________________________

目录 一、课程设计题目................. 错误!未定义书签。 二、设计目的..................... 错误!未定义书签。 三、设计内容..................... 错误!未定义书签。 四、设计所需器材与工具 (3) 五、设计思路..................... 错误!未定义书签。 六、设计步骤(含流程图和代码) ..... 错误!未定义书签。 七、课程设计小结 (36)

一、课程设计题目:点阵显示系统电路及程序设计 利用《汇编语言与微型计算机技术》课程中所学的可编程接口芯片8253、8255A、8259设计一个基于微机控制的点阵显示系统。 二、设计目的 1.通过本设计,使学生综合运用《汇编语言与微型计算机技术》、《数字电子技术》等课程的内容,为今后从事计算机检测与控制工作奠定一定的基础。 2.掌握接口芯片8253、8255A、8259等可编程器件、译码器74LS138、8路同相三态双向总线收发器74LS245、点阵显示器件的使用。 3.学会用汇编语言编写一个较完整的实用程序。 4.掌握微型计算机技术应用开发的全过程,包括需求分析、原理图设计、元器件选用、布线、编程、调试、撰写报告等步骤。 三、设计内容 1.点阵显示系统启动后的初始状态 在计算机显示器上出现菜单: dot matrix display system 1.←left shift display 2.↑up shift display 3.s stop 4.Esc Exit 2.点阵显示系统运行状态 按计算机光标←键,点阵逐列向左移动并显示:“微型计算机技术课程设计,点阵显示系统,计科11302班,陈嘉敏,彭晓”。 按计算机光标↑键,点阵逐行向上移动并显示:“微型计算机技术课程设计,点阵显示系统,计科11302班,陈嘉敏,彭晓”。 按计算机光标s键,点阵停止移动并显示当前字符。 3.结束程序运行状态 按计算机Esc键,结束点阵显示系统运行状态并显示“停”。 四.设计所需器材与工具 1.一块实验面包板(内含时钟信号1MHz或2MHz)。 2.可编程芯片8253、8255、74LS245、74LS138各一片,16×16点阵显示器件一片。

计算机温度控制实验报告1

目录 一、实验目的---------------------------------2 二、预习与参考------------------------------- 2 三、实验(设计)的要求与数据------------------- 2 四、实验(设计)仪器设备和材料清单-------------- 2 五、实验过程---------------------------------2 (一)硬件的连接- --------- ----------------------- 2 (二)软件的设计与测试结果--------------------------3 六、实验过程遇到问题与解决--------------------11 七、实验心得--------------------------------12 八、参考资料-------------------------------12

一、实验目的 设计制作和调试一个由工业控制机控制的温度测控系统。通过这个过程学习温度的采样方法,A/D变换方法以及数字滤波的方法。通过时间过程掌握温度的几种控制方式,了解利用计算机进行自动控制的系统结构。 二、预习与参考 C语言、计算机控制技术、自动控制原理 三、实验(设计)的要求与数据 温度控制指标:60~80℃之间任选;偏差:1℃。 1.每组4~5同学,每个小组根据实验室提供的设备及设计要求,设计并制作出实际电路组成一个完整的计算机温度控制测控系统。 2.根据设备情况以及被控对象,选择1~2种合适的控制算法,编制程序框图和源程序,并进行实际操作和调试通过。 四、实验(设计)仪器设备和材料清单 工业控制机、烘箱、温度变送器、直流电源、万用表、温度计等 五、实验过程 (一).硬件的连接 图1 硬件接线图

微机原理实验报告

西安交通大学实验报告 课程_微机与接口技术第页共页 系别__生物医学工程_________实验日期:年月日 专业班级_____组别_____交报告日期:年月日 姓名__ 学号__报告退发 ( 订正、重做 ) 同组人_教师审批签字 实验一汇编语言程序设计 一、实验目的 1、掌握Lab6000p实验教学系统基本操作; 2、掌握8088/8086汇编语言的基本语法结构; 3、熟悉8088/8086汇编语言程序设计基本方法 二、实验设备 装有emu8086软件的PC机 三、实验内容 1、有一个10字节的数组,其值分别是80H,03H,5AH,FFH,97H,64H,BBH,7FH,0FH,D8H。编程并显示结果: 如果数组是无符号数,求出最大值,并显示; 如果数组是有符号数,求出最大值,并显示。 2、将二进制数500H转换成二-十进制(BCD)码,并显示“500H的BCD是:” 3、将二-十进制码(BCD)7693转换成ASCII码,并显示“BCD码7693的ASCII是:” 4、两个长度均为100的内存块,先将内存块1全部写上88H,再将内存块1的内容移至内存块2。在移动的过程中,显示移动次数1,2 ,3…0AH…64H(16进制-ASCII码并显示子

程序) 5、键盘输入一个小写字母(a~z),转换成大写字母 显示:请输入一个小写字母(a~z): 转换后的大写字母是: 6、实现4字节无符号数加法程序,并显示结果,如99223344H + 99223344H = xxxxxxxxH 四、实验代码及结果 1.1、实验代码: DATA SEGMENT SZ DB 80H,03H,5AH,0FFH,97H,64H,0BBH,7FH,0FH,0D8H;存进数组 SHOW DB 'THE MAX IS: ','$' DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA ;把数据的基地址赋给DS MOV DS,AX MOV DX,OFFSET SHOW ;调用DOS显示字符串 MOV AH,09H INT 21H MOV SI ,OFFSET SZ ;数组的偏移地址赋给SI MOV CX,10 ;存进数组的长度给CX MOV DH,80H ;将数组的第一个数写进DH NEXT: MOV BL,[SI] ;将数组的第一个数写进BL CMP DH,BL ;比较DH和BL中数的到校 JAE NEXT1 ;如果DH中的数大于BL中,将跳转到NEXT1 MOV DH,BL ;如果DH中的数小于BL中,将BL中的数赋给DH NEXT1: INC SI ;偏移地址加1 LOOP NEXT;循环,CX自减一直到0,DH中存数组的最大值 ;接下来的程序是将将最大值DH在屏幕上显示输出 MOV BX,02H NEXT2: MOV CL,4 ROL DH,CL ;将DH循环右移四位

微机原理与接口技术实验报告

微机原理与接口技术实验报告

2

3

实验一:数据传送 实验学时:2 实验类型:验证 实验要求:必修 一.实验目的 1.学习程序设计的基本方法和技能,掌握用汇编语言设计、编写、调试和运行程序的方法; 学习用全屏幕编辑软件QEDIT.EXE建立源程序(.ASM文件); 学习用汇编软件MASM.EXE对源文件汇编产生目标文件(.OBJ文件); 学习用连接程序LINK.EXE对目标文件产生可执行文件(.EXE文件); 学习用调试软件TD.EXE调试可执行文件; 2.掌握各种寻址方法以及简单指令的执行过程。 二.实验器材 PC机 三.实验组织运行要求 1.利用堆栈实现AX的内容与BX的内容进行交换。堆栈指针SP=2000H,AX=3000H,BX=5000H; 2.汇编、调试、观察、记录结果; ⑴用QEDIT.EXE软件输入汇编语言源程序,以.ASM格式文件存盘; ⑵用MASM对源程序进行汇编产生二进制目标文件(.OBJ文件),再用连接程序LINK产生可执行文件(.EXE文件); ⑶用调试软件TD调试、运行程序,观察、记录结果。 四.实验步骤 1.进入子目录E:>\SY86后,利用QEDIT.EXE(简称Q)送入以下汇编语言源程序,并以M1.ASM文件存盘 ⑴汇编语言程序的上机过程 ①进入\SY86子目录 E:>CD\SY86 E:\SY86> ②进入QEDIT.EXE 编辑界面 E:\SY86> Q ③输入文件名*.ASM(如M1.ASM)后,输入源程序 源程序 DATA SEGMENT PARA PUBLIC’DATA’ ;数据段定义 DB 512 DUP(0) DATA ENDS STACK SEGMENT PARA STACK’STACK’ ;堆栈段定义 DB 512 DUP( ?) 4

计算机控制系统实验报告2

江南大学物联网工程学院 《计算机控制系统》 实验报告 实验名称实验二微分与平滑仿真实验 实验时间2017.10.31 专业班级 姓名学号 指导教师陈珺实验成绩

一、实验目的与要求 1、了解微分对采样噪音的灵敏响应。 2、了解平滑算法抑制噪音的作用。 3、进一步学习MATLAB 及其仿真环境SIMULINK 的使用。 二、仿真软硬件环境 PC 机,MATLAB R2012b 。 三、实验原理 如图微分加在正反馈输入端,计算机用D(Z)式进行微分运算。R 为阶跃输入信号,C 为系统输出。由于微分是正反馈,当取合适的微分时间常数时,会使系统响应加快。若微分时间常数过大,则会影响系统稳定性。 四、D(Z)设计 1、未平滑时的D(Z) 用一阶差分代替微分运算: )1()()()(1--==Z T T Z X Z Y Z D D 式中T D为微分时间常数,T 为计算机采样周期。 2、平滑后的D(Z) 微分平滑运算原理如图: 取Y *(k)为四个点的微分均值,有 )331(6)()()( )33(6 )5 .15.05.05.1(4)( 321321221*-----------+==∴--+=-+-+-+-= Z Z Z T T Z X Z Y Z D X X X X T T X X X X X X X X T T K Y D K K K K D K K K K D x t + ○R

五、SIMULINK仿真结构图 七、思考题 1、微分噪音与采样噪音和采样周期T有什么关系?与微分时间常数有什么关系? 2、平滑后系统输出有无改善?是否一定需要平滑?

8086软硬件实验报告(微机原理与接口技术上机实验)

实验一实验环境熟悉与简单程序设计 实验目的 (1)掌握DEBUG调试程序的使用方法。 (2)掌握简单程序的设计方法。 实验内容 编程将BH中的数分成高半字节和低半字节两部分,把其中的高半字节放到DH中的低4位(高4位补零),把其中的低半字节放到DL中的低4位(高4位补零)。如: BH=10110010B 则运行程序后 DH=00001011B DL=00000010B 实验准备 (1)熟练掌握所学过的指令。 (2)根据实验内容,要求预先编好程序。 实验步骤 (1)利用DEBUG程序输入、调试程序。 (2)按下表要求不断地修改BH的内容,然后记录下DX的内容。 实验报告 (1)给出程序清单。 (2)详细说明程序调试过程。

程序: CODE SEGMENT START : MOV BH,00111111B MOV AL,BH MOV CL,4 SHR AL,CL MOV DH,AL MOV AL,BH AND AL,00001111B MOV DL,AL MOV CL,0 CODE ENDS END START

实验二简单程序设计 实验目的 (3)掌握DEBUG调试程序的使用方法。 (4)掌握简单程序的设计方法。 实验内容 试编写一个汇编语言程序,要求实现功能:在屏幕上显示:Hello world My name is Li Jianguo 参考程序如下:(有错) data segment out1 db 'Hello world' ax db 'My name is Li Jianguo' data ens code segment assume cs:code;ds:data lea dx,out1 mov ah,2 int 21h mov dl,0ah mov ah,2

微机系统课程设计实验报告---交通信号灯自动控制模拟指示系统[13页].docx

微机系统课程设计实验报告

课题:交通信号灯自动控制模拟指示系统 一、课程设计目的 1.掌握CPU与各芯片管脚连接方法,提高借口扩展硬件电路 的连接能力。 2.加深对定时器、计数器和并行借口芯片的工作方式和编程 方法的理解。 3.掌握交通信号灯自动控制系统的设计思路和实现方法。 二、课程设计内容 设计并实现十字路口通信号自动控制模拟指示系统。设该路口由A、B两条通行相交而成,四个路口各设一组红、黄、绿三色信号灯,用两位数码管作倒计时显示。 三、应用系统设计方案 交通信号灯的亮灭时间及数码管显示时间可以通过8253来控制,8253的时钟源采用时钟信号发生器与分频电路提供,通过计算获得计数初值为1000。按照需要设定工作在方式3. 交通信号灯及数码管可以采用系统提供的相应模块,控制可以通过8255可编程并行借口,PA口控制红黄绿交通灯的亮灭,PB口和PC口控制时间显示数码管的段和位。PC0作为OUT1的输入。

四、系统测试结果 1.基本功能实现 (1)以秒为计时单位,两位数码管以十进制递减计数显示通行剩余时间,在递减计数为零瞬间转换。即南 北的绿灯、东西的红灯同时亮30秒,同时南北路口 数码管递减显示绿灯剩余时间;为0时,南北的黄 灯闪烁5秒钟,同时东西的红灯继续亮;南北的红 灯、东西的绿灯同时亮30秒,同时东西路口数码管 递减显示绿灯剩余时间;为0时,南北红灯继续亮, 同时东西的黄灯闪烁5秒;若不结束,则开始循环。 (2)通过键盘可以对红、黄、绿三色信号灯所亮时间再0~99内任意设定。 (3)十字路口的通行气势状态可自行设定,系统启动后自动运行,按“Q”退出。 2、发挥部分实现 (1)增加人工干预模式,在特殊情况下可通过人工干预,手动控制A、B交通灯的切换时间,并可以随时切 换为自动运行模式。 (2)增加夜间控制功能,交通灯在进入夜间模式后,A、B干道上红、绿灯均不亮,黄灯信号灯闪烁。 (3)增加红灯倒计时显示。

炉温控制实验报告 -计算机控制系统

Beijing Jiaotong University 计算机控制系统实验 炉温控制实验 学院:电子信息工程学院 姓名: 学号: 指导教师: 时间:

炉温控制实验 一、实验目的 1、了解温度控制系统的特点。 2、研究采样周期T对系统特性的影响。 3、研究大时间常数系统PID控制器的参数的整定方法。 二、实验仪器 1、计算机控制系统实验箱一台 2、PC计算机一台 3、炉温控制实验对象一台 三、基本原理 1、系统结构图示于图1-1。 图1-1 系统结构图 图中 Gc(s)=Kp(1+Ki/s+Kds) Gh(s)=(1-e-TS)/s Gp(s)=1/(Ts+1) 2、系统的基本工作原理 整个炉温控制系统由两大部分组成,第一部分由计算机和A/D&D/A卡组成,主要完成温度采集、PID运算、产生控制可控硅的触发脉冲,第二部分由传感器信号放大,同步脉冲形成,以及触发脉冲放大等组成。炉温控制的基本原理是:改变可控硅的导通角即改变电热炉加热丝两端的有效电压,有效电压的可在0~140V内变化。可控硅的导通角为0~5CH。温度传感是通过一只热敏电阻及其放大电路组成的,温度越高其输出电压越小。外部LED灯的亮灭表示可控硅的导通与

闭合的占空比时间,如果炉温温度低于设定值则可控硅导通,系统加热,否则系统停止加热,炉温自然冷却到设定值。 3、PID递推算法: 如果PID调节器输入信号为e(t),其输送信号为u(t),则离散的递推算法为: Uk=Kpek+Kiek2+Kd(ek-ek-1) 其中ek2是误差累积和。 四、实验内容: 1、设定炉子的温度在一恒定值。 2、调整P、I、D各参数观察对其有何影响。 五、实验步骤 1、启动计算机,双击桌面“计算机控制实验”快捷方式,运行软件。 2、测试计算机与实验箱的通信是否正常,通信正常继续。如通信不正常查找原因使通信正常后才可以继续进行实验。 3、20芯的扁平电缆连接实验箱和炉温控制对象,检查无误后,接通实验箱和炉温控制的电源。 4、在实验项目的下拉列表中选择实验七[七、炉温控制] 鼠标单击按钮,弹出实验课题参数设置对话框,选择PID,在参数设置窗口设置炉温控制对象的给定温度以及Ki、Kp、Kd值,点击确认在观察窗口观测系统响应曲线。测量系统响应时间Ts和超调量。 5、重复步骤4,改变PID参数,观察并记录波形的变化。 六、PID参数整定 1、比例部分整定。 首先将积分系数KI和微分系数KD取零,即取消微分和积分作用,采用纯比例控制。将比例系数KP由小到大变化,观察系统的响应,直至速度快,且有一定范围的超调为止。如果系统静差在规定范围之内,且响应曲线已满足设计要求,那么只需用纯比例调节器即可。

四川大学微机原理实验报告..

微机原理实验报告 学院: 专业班级: 姓名 学号

实验一汇编语言编程基础 1.3汇编语言程序上机操作和调试训练 一.功能说明 运用8086汇编语言,编辑多字节非压缩型BCD数除法的简单程序,文件名取为*.ASM。 运用MASM﹒EXE文件进行汇编,修改程序中的各种语法错误,直至正确,形成*.OBJ文件。 运用LINK.EXE文件进行连接,形成*.EXE文件。 仔细阅读和体会DEBUG调试方法,掌握各种命令的使用方法。 运用DEBUG。EXE文件进行调试,使用单步执行命令—T两次,观察寄存器中内容的变化,使用察看存储器数据段命令—D,观察存储器数据段内数值。 再使用连续执行命令—G,执行程序,检查结果是否正确,若不正确可使用DEBUG的设置断点,单步执行等功能发现错误所在并加以改正。 二.程序流程图 设置被除数、商的地址指针 设置单位除法次数计数器 取被除数一位作十进制调整 作字节除法、存商 N 被除数各位已除完? Y 显示运算结果 结束 三.程序代码 修改后的程序代码如下: DATA SEGMENT A D B 9,6,8,7,5 B DB 5 C DB 5 DUP (0) N EQU 5 DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,ES:DATA START: MOV AX,DATA MOV DS,AX

MOV ES,AX CLD LEA SI,A LEA DI,C MOV CX,N MOV AH,0 LP1: LODSB AAD DIV B STOSB LOOP LP1 MOV CX,N LEA DI,C LP2: MOV DL,[DI] ADD DL,30H MOV AH,2 INT 21H INC DI LOOP LP2 MOV AH,4CH INT 21H CODE ENDS END START 四.实验感想和收获 通过这次试验,我对微机原理上级试验环境有了初步的认识,可以较为熟练地对汇编语言进行编译,汇编及连接,同时也学会了用DEBUG调试程序,收获很大。 在这次试验中我也遇到了一些困难。在刚开始我发现自己无法打开MASM.EXE,计算机提示是由于版本不兼容。我这才想起来我的操作系统是64位的,和该软件版本不兼容。不过我并没有放弃,经过我的摸索之后,我发现用DOSBOX这个程序可以解决我的电脑运行不了该程序的问题。在解决了第一个难题后,我开始着手改正试验1.3中的语法错误和逻辑错误,但是无论我怎么修改却始终都无法通过编译,并且基本上每句话都有编译错误。根据我多年编程的经验来看,这应该是中文输入法在搞鬼,之后我耐心地把程序重新输了一遍,果然通过了编译,并且之后的连接也进行的很顺利。在用DEBUG调试时发现得出的结果也很正确。 尽管这次的实验内容非常简单,仅仅是教会我们一些基本的操作,但我却明显感觉到了汇编语言和C语言等高级语言所不同的地方。越是底层,基础的东西就越不人性化,用C语言一行代码就能实验的功能在汇编语言中可能要花上数十行。看来汇编语言的学习不是几周就能速成的,必须要有长年累月的积淀才能掌握。

微机原理与接口技术_课程设计实验报告

课程设计实验报告 课程:现代微机原理与接口技术题目:键盘扫描实验

班级:数字媒体 1004 学号: 0305100417 学生:海洋 指导老师:天天 日期: 2012.6.18 一、实验目的 (1)掌握键盘扫描的应用及编程 (2)掌握LED的应用 二、实验设备 PC计算机一台,TD-PIT + 实验系统一套。 三、实验原理 (1)8255芯片:8255具有3个带锁存或缓冲的数据端口,它的并行数据宽度为8位。可与外设并行进行数据交换。A口和B口具有中断控制逻辑,在外设与CPU之间可用中断方式进行信息交换。把8255并口和键盘,组成一个键盘装置。通过cpu对8255的控制最总达到键扫的目的。每一个键对应一个ASCII 码字符,通过8255的输入和输出,最终显示在屏幕上。 (2)LED数码显示原理:数码管的 7 个段及小数点都是由 LED 块组成的,显示方式分为静态显示和动态显示两种。数码管在静态显示方式时,其共阳管的位选信号均为低电平,四个数码管的共用段选线 a、b、c、d、e、f、g、dp 分别与单片机的 8 根 I/O 口线相连,显示数字时只要给相应的段选线送低电平。数码管在动态显示方式时,在某一时刻只能有一个数码管被点亮显示数字,其余的处于非选通状态,位选码端口的信号改变时,段选码端口的信号也要做相应的改变,每位显示字符停留显示的时间一般为1-5ms,利用人眼睛的视觉惯性,在数码管上就能看到相当稳定的数字显示。 (3)键盘扫描原理:第一步,使行线为编程的输入线,列线是输出线,拉低所有的列线,判断行线的变化,如果有按键按下,按键按下的对应行线被拉低,否则所有的行

微机原理课程设计实验报告DOC

河北科技大学 课程设计报告 学生姓名:学号: 专业班级: 课程名称: 学年学期: 指导教师: 年月

课程设计成绩评定表 学生姓名学号成绩 专业班级起止时间2011.12.24—2012.11.28 设计题目字符串动画显示 指 导 教 师 评 语 指导教师: 年月日

目录 一、课程设计的目的 (1) 二、设计题目 (1) 三、设计内容要求 (2) 四、设计成员及分工 (2) 五、课程设计的主要步骤 (2) 六、课程设计原理及方案 (3) 七、实现方法 (3) 八、实施结果 (8) 九、总结 (8) 十、体会感受 (8)

一、课程设计的目的 课程设计是以自己动手动脑,亲手设计与调试的。它将基本技能训练、基本工艺知识和创新启蒙有机结合,培养我们的实践和创新能力。课程设计的意义,不仅仅是让我们把所学的理论知识与实践相结合起来,提高自己的实际动手能力和独立思考的能力。作为信息时代的大学生,基本的动手能力是一切工作和创造的基础和必要条件。 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识解决实际工程设计和应用问题的能力的重要教学环节,它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一种较好方法。 《微机原理及应用》是一门应用性、综合性、实践性较强的课程,没有实际的有针对性的设计环节,学生就不能很好的理解和掌握所学的技术知识,更缺乏解决实际问题的能力。所以通过有针对性的课程设计,使学生学会系统地综合运用所学的理论知识,提高学生在微机应用方面的开发与设计本领,系统的掌握微机硬软件设计方法。 通过课程设计实践,不仅要培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅专业资料、工具书或参考书,掌握工程设计手段和软件工具,并能以图纸和说明书等表达设计思想和结果的能力。培养学生事实求是和严肃认真的工作态度。 通过设计过程,要求学生熟悉和掌握微机系统的软件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的系统方案论证设计、编程、软件调试、查阅资料、编写说明书等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练的熟练掌握微机系统的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的软件调试方法和步骤,熟悉微机系统的软件开发工具的使用方法。 二、设计题目

相关文档
相关文档 最新文档