文档视界 最新最全的文档下载
当前位置:文档视界 › 参数化4×4位ROM的设计与实现 (2)

参数化4×4位ROM的设计与实现 (2)

参数化4×4位ROM的设计与实现 (2)
参数化4×4位ROM的设计与实现 (2)

学科代码:

学号:本科毕业论文(设计)

题目:参数化4×4位ROM的设计与实现

学院:

专业:

班级:

学生姓名:

指导教师:

年月日

目录

摘要:......................................................................................................................................................... II 关键词:......................................................................................................................................................... II Abstract: ......................................................................................................................................................... III Keyword:...................................................................................................................................................... III 1. 引言.. (1)

1.1研究的背景和意义 (1)

1.2存储器的现状和发展 (2)

2. 设计参数化4×4位ROM的关键理论及技术问题 (3)

2.1 VHDL语言的简介及特点 (3)

2.3 QuartusII软件的简介及其功能 (4)

3. rom的含义、结构、分类与意义 (5)

3.1 rom的含义 (5)

3.2 rom的结构 (5)

3.3 rom的分类 (5)

3.4 rom的意义 (6)

4. 参数化4×4位ROM的设计与实现 (7)

4.1设计4×4位ROM的工作原理 (7)

4.2用VHDL语言设计4×4位ROM的程序和步骤 (7)

4.3 用VHDL语言设计rom的时序仿真 (11)

4.4 用VHDL语言设计的4×4位ROM原理图符号 (15)

5.总结与回顾 (18)

致谢 (19)

参考文献 (20)

参数化4×4位ROM的设计与实现

摘要:ROM,也称为只读存储器,只读存储在固态半导体存储器中的第一个数据,其特征在于存储的数据不能再次更改或删除。它通常用于电子或计算机系统,不需要频繁更改数据,并且由于断电而存储的信息不会丢失。为了方便使用和大规模生产,更好的理解可编程只读存储器,可擦可擦除编程和开发部罗本设计通过使用VHDL语言编程,数组的使用,在4×4的ROM参数设计,Quartus II软件仿真,完全满足设计的要求。关键词:ROM; VHDL;数组描述;仿真

A Complex Traffic Light Control Circuit Design

(School of Information Engineering, 。。。。。。。。)

Abstract:ROM is a read-only Memory (Read - Only Memory), it is Only to Read solid-state semiconductor Memory to store data in advance, its characteristic is to store the data between once won't be able to once again after to change or delete. Is often used in electronic or computer system does not need to change often data, and the stored data will not disappear because of the power shut down. For convenience in use and mass production, to further understand the development of programmable read-only memory, erasable programmable read only memory (ROM) and electrically erasable programmable read-only memory. This design using VHDL language program, use an array to describe, design a parameters of 4 * 4 ROM. After the Quartus II software simulation, to fully meet the design requirements. Keyword:ROM;VHDL;Array description;The simulation;

1. 引言

1.1研究的背景和意义

(一)选题背景

如今,随着社会的不断发展和科学技术的推广,家用电器的使用变得更加方便。例如,目前全自动洗衣机,只要你轻轻按下一个或两个按钮或按照一定的程序预先设置,等了几分钟,衣服可以清洗。为什么会这么简单?这些家电几乎全部在单片机的使用中,这也取代了以前的手工操作。也许很多人在日常生活中没有感觉,但单片机已经真正成为我们生活中不可缺少的一部分,然而,主要由ROM单片机组成,而数据存储功能ROM 是微机的必要组成部分。

在电子产品方面,我国不仅仅是一个庞大的消费者,而且是一个庞大的生产者,在电子产品中,中国不仅是一个巨大的消费,是一个大的生产者,ROM对一些较大的企业的需求是供不应求的状态,根据市场研究公司isupplirescharch他的预测,由于工业部门和在未来几年,消费产品需求的扩大,中国的ROM市场将日益扩大。因此,大量的ROM设计公司也伴随着巨大的时间来刺激市场和销售金额是相当大的。ROM的特点及其生产成本,国内企业的设计往往采用EDA技术设计,随着西方科学技术的飞速发展,一些不太受欢迎的小电子产品,慢慢将更多的生产到中国,这对于我国来说,未来将有更多的ROM生产,也必将赢得更多的市场份额。

只读存储器是一种半导体内存,主要由单元阵列、地址译码电路、读出驱动电路三部分组成,其特性是一旦断电储存的资料就无法再将之改变或删除。通常用于不需要频繁更改数据的电子或计算机系统,由于断电而不会丢失数据。为了使用的简便和批量生产,设计人员经常使用VHDL语言来进行编写,利用数组描述来设计参数化的ROM。(二)研究意义

实践意义:ROM是单片机所必须的因素,实现研究有力于单片机的大力生产,增强单片机在国际市场上的竞争力,开发具有自主知识产权,能够满足个人和企业数据存储及容灾备份的单片级系列具有应用价值。

理论意义:该ROM的实现可以增强电子产品的性能,给人们带来高效,提高生活质量,促进社会发展。

1.2存储器的现状和发展

存储器(memory)是一种用于记忆大量信息组件的数字系统。它的作用是可以存储不同程序的执行命令,并且需要对数据进行计算和处理,所以它差不多是为电路系统的保留信息的一个仓库,一个存储器的空间越大,这个信息的储存容量就越多,因为保留的代码和数据多,所以其功能也更强。成千上万的带有记忆功能的存储单元可以组成一个规范的存储器,一个储存单位可以存放几位二进制数码信息?答曰:一位。

2. 设计参数化4×4位ROM的关键理论及技术问题

2.1 VHDL语言的简介及特点

在VHDL中,IEEE是标准的硬件描述语言,是现代电子系统设计的首选硬件设计语言。本文介绍了基于VHDL语言的VHDL基本方法的系统设计,以及VHDL设计实例。宏观来看,VHDL的语法形式的程序的组成部分;微观上看VHDL的语法是各种语句的运用细节。基于VHDL的特点,本章从两个方面讲解了VHDL的基本语法。VHDL 是数字电路描述和数字逻辑系统设计的形式化方法。它主要描述离散电子系统的结构和行为。应用VHDL系统设计,具有以下特性:

①强大的VHDL语言有强大的结构。它能够使用一个清晰的代码来讲述设计逻辑控制的复杂性。并拥有多方面的设计描述功能,支持库的设计和可复用构件的生成。VHDL 是一个标准的硬件描述语言的设计,仿真和合成。

②便携式VHDL语言是一种标准语言,可以为不同的EDA工具设计。它可以移植一个模拟工具到另一个,从一个集成的工具移植到另一个。

③独立VHDL的硬件描述独立于具体的进程技术和硬件架构。设计师不用明白硬件是怎样的架构方式,也不用管目标设备的终极设计是什么,而单独研究。程序设计的目标器件具有广泛的选择,可以是CPLD,FPGA和各种门阵列器件的系列。

④灵活性VHDL最初被开发为具有丰富的仿真语句和库函数的仿真标准格式。所以在任何大的系统设计中,准备模拟设计模拟。因此,即使在高层次上(即使设计尚未完成),设计人员也可以检查整个工程设计的结构和功能的可行性和结构。

⑤可操作的VHDL具有通用描述语句和子程序调用等功能。对于完整的设计,可以通过更改端口通用参数或功能来更改设计的大小和结构,而无需更改源代码。

2.3 QuartusII软件的简介及其功能

Quartus II是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内置集成的合成器和仿真器,可以从设计输入到完整的PLD设计过程的硬件配置完成。Quartus II可以用于XP,Linux和Unix,Tcl脚本可以完成设计过程之外,还提供完

美的用户界面设计。拥有快速运行,统一界面,集中功能,易于学习和使用的特点。Quartus II支持Altera的IP内核,其中包括LPM / Mega功能库,用户可以利用经过验证的模块,将设计的复杂性进行简化并加快工作的速度。另外,Quartus II和DSP Builder工具组合,各种DSP应用皆可以轻松实现; 支持Altera的片SOPC研究,将系统级设计,嵌入式软件开发,SOPC设计于一体,是一个全能的开发平台。随着PLD设

计软件的最后一代,MAXPLUS II已经由于其出色的易用性而得到广泛应用。目前,公

司已经停止对Maxplus II的更新,Quartus II所拥有的不仅是丰富的设备种类和图形界面设计的多变性。Altera包含许多如SignalTap II,芯片编辑器和RTL查看器在Quartus II设计工具,集成了SOPC和硬拷贝的设计流程,并继承了MAXPLUS II图形

界面友好,使用方便的特性。作为一种SOPC设计环境,AlteraQuartus II是随着数字系统的设计越来越受欢迎,由于其强大的设计能力和直观的界面。

Quart us II提供了一个完全集成的开发包和无关环境的电路结构,拥有数字逻辑设计所有的特点:使用原理图、Verilog HDL、AHDL和VHDL的结构图,完成电路描述,并将它保存为一个设计单位的文件;芯片(电路布局线)编辑;逻辑锁增量式设计方法,允许用户建立和更新系统,添加的后续模块实现了对原系统的性能影响不大或没有影响;逻辑综合工具的强大功能;完整的电路逻辑功能仿真和时序仿真工具;定时/时间和关

键路径延迟分析;分析工具为嵌入式信号TAP II逻辑;支持添加和新建软件源文件,

并链接它们生成使用该组的编程文件;编译完成后可以完成整个设计过程;自动定位编译错误;高效的期间编程与验证工具。

3. rom的含义、结构、分类与意义

3.1 rom的含义

ROM是(Read-Only Memory)的简写,也叫只读存储器,是一种只读取存储在固态半导体存储器中的数据。它的特点是,一旦数据被存储,它就不能被更改或删除。通常用于电子或计算机系统,不需要频繁的数据更改,并且由于断电而不会消失。只读存储器功能只能读取不能任意写的信息,在主板内部的ROM固化一个基本的输入/输出系统,称为BIOS。其主要作用是完成对系统的加电自检、系统中各功能模块的初始化、系统的基本输入/输出的驱动程序及引导操作系统。

3.2 rom的结构

rom的电路结构包括:输入缓冲器,地址译码器,存储矩阵,输出缓冲器。其中主要包括地址译码器,存储矩阵,输出缓冲器三部分。如下图1-1所示。

图1-1 (ROM的结构图)

图中译码器有n个输入,其输出W0、……、Wn-1共有N=2n个,称作字线。字线是ROM存储器矩阵的输入,ROM存储器矩阵具有称为位线的M个输出线。 ROM存储矩阵是位线和字线的交点,存储单元表示ROM存储矩阵容量,即其容量为W * D。

3.3 rom的分类

按写入内容的方式,ROM可分3种:固定内容ROM;可一次编程PROM;可擦写ROM,又可以细分为EPROM(紫外线擦除电写入)和E2PROM(电擦除电写入)等。

(1)拥有固定内容ROM是由掩模技术完成的,出厂内容已经根据要求进行了统一,用户不能自己进行改动,图13.2为ROM固定内容存储矩阵示例。因为ROM的信息是固定的,无法进行修改,信息也不会因为电路的突然断开而消失,所以,一般固定的程序和数据都可以进行存储。

(2)可一次编程PROMPROM(ProgrammableROM)是可一次编程ROM。此内存不存储在工厂数据中。单位可以被当作为“0”或“1”,用户可以根据人们的要求设计保存数字“一次性写入”,一旦进行了书面录入就无法改变。在每个存储单元中的PROM连接快速熔丝,在数据被用户写入之前,存储单元相当于“1”。写入数据时,应存储一个“0”单元,以使保险丝与足够大的电流脉冲熔断。

(3)EPROM为了校正PROM仅能写入一次的缺陷,已经有多个擦除和编程存储器。该存储器以两种方式被擦除,一种是电写入UV擦除存储器

EPROM(ErasablePro-grammableRead-onlyMemory);另一种是电写入电擦除的存储器,称为EEPROM或E2PROM(ElectricallyErasableProgrammableRead-onlyMemory).EPROM 内容的改写不像RAM那么容易,1084-ADJ在使用的过程中,不可以擦除和重写EPROM 的内容,所以它依旧归于只读存储器。如果非要去改写EPROM的内容,则必须从电路板上拆下薄膜,将石英玻璃窗上方的紫外线灯的内存照射几分钟,使原有的数据消失。擦除时间一般在10min ~ 30min左右,取决于模型的差异。装置的外壳上设有透明石英盖板,便于擦除操作。数据写入后要用不透明纸盖石英盖,防止数据丢失。写入数据可通过编程软件产生电脉冲实现。

(4)想修改原有存储的内容,需要被放置在紫外辐射,并不是很方便。擦除操作实际上是写“1”操作的E2PROM,所有的记忆细胞被写为“1”的状态,只要相关计划的一部分是写为“0”。 E2PROM是对只读存储器的擦除进行电写操作,无需紫外线进行擦除,只要使用10ms,20V左右即可完成脉冲的擦除操作。擦除操作实际上是写“1”的操作,所有存储单元都写为“1”,编程只要写入“0”的相关部分即可。

3.4 rom的意义

在现如今的数字系统中,半导体存储器已经成为了必备的一部分,能够储存大量的二进制数据。从集成方面来看,算是术语大规模集成电路的一种。目前半导体存储器在我们生活中已经无处不在,手机,数码相机,电脑,所有数字产品基本上都有存储器的身影。所以我们研究他是未来的趋势,这是很有前途的发展方向。

4. 参数化4×4位ROM的设计与实现

4.1设计4×4位ROM的工作原理

对于4*4位ROM,4个带门组成了地址解码器,该组由4个或两个门,两个地址变量J1J0组成,电路结构如图1-2所示。输出的数据为:

当RD为高电平时:

C3=K0+K2=n0+n2

C2=K1+K2+K3=n1+n2+n3

C1=K0+K3=n0+n3

C0=K0+K1+K3=n0+n1+n3

当RD为低电平时:

输出高电平,即ZZZZ状态

显然,4*4位ROM的内容分别为:00,01,10,11和端口RD为0。

图1-2(ROM的电路结构图)

4.2用VHDL语言设计4×4位ROM的程序和步骤

VHDL语言设计4×4位ROM的程序如下:

(1)启动QuartusII界面如图1-3-1所示

图1-3-1

(2)根据向导建立新文件。

在文件(File)菜单中,选择新建项目(New project wizard)以启动项目向导。如图1-3-2

所示,指定项目的路径,项目名称和顶部文件名。顶级文件名和项目名称可以是一致的或不同的。项目名称只能有一个顶级文件,但可以有很多个文件。在此设计中我们将工程名命名为:rom4,顶层文件名命名为rom。

图1-3-2

(3)然后点击如图1-3-2所示NEXT按钮出现下一个对话框如图1-3-3所示直接点击按钮Finish再出现的界面中点击File/new出如图1-3-4界面点击按钮VHDL File进入VHDL语言编辑区域如图1-3-5所示。

图1-3-3

图1-3-4

图1-3-5

(4)在图1-3-5的程序编辑区域中将设计rom的程序输入进去如图1-3-6所示。

图1-3-6

(5)点击处理(Processing)中的开始编译(Start Compilation),编译设计的程序。得到如图1-3-7所示的编译结果。(备注:倘若显示有错误的话就根据提示一个个错误逐个修改,然后在继续编译,直到出现如图1-3-6所示的结果才说明编译结果已通过,可以继续进行其他的操作。)

图1-3-7

4.3 用VHDL语言设计rom的时序仿真

(1)在编译的rom程序中接着点击File/New,显示如图1-3-4所示对话框,然后单击矢量波形文件(Vector Waveform File),并且单击确定按钮,打开仿真波形文件编译器,如图1-3-8所示。

图1-3-8

(2)在“定时仿真”窗口中,单击以选择“编辑\插入\插入节点”或“总线”(Edit\Insert\Insert Node or Bus),如图1-3-9所示,点击如图1-3-9的Node Finder 出现添加仿真项目对话框如图1-3-10。

图1-3-9

图1-3-10

(3)点击如图1-3-10中List的按钮添加输入输出的物理量,点击OK进入仿真波形窗口,设定仿真时间,选择所需要修改时间段,修改需要的高电平和低电平,然后点击Simulation中的Run Function Simulator进行仿真。结果分别如下:

图1-3-11为00输出波形图,图1-3-12为01输出波形图,图1-3-13为10输出波形图,图1-3-14为11输出波形图,图1-3-15为RD位低电平时即ZZZZ状态时的输出波形图。

图1-3-11

图1-3-12

图1-3-13

图1-3-14

图1-3-15

4.4 用VHDL语言设计的4×4位ROM原理图符号

(1)在成功编译了的对话框里如图1-3-7中点击File中的Symbol Files For Currents File 出现如图1-3-16,如图1-3-16通过编译后再选择如图1-3-4中的Block Diagram\Schematic File出现如图1-3-17。

图1-3-16

图1-3-17

(2)在图1-3-17的编辑窗口点击Edit中的Insert/Symbol即出现如图1-3-18,输入名字rom4点击OK如图1-3-19所示,即4×4 rom的原理图符号。

图1-3-18

图1-3-19

相关文档