文档视界 最新最全的文档下载
当前位置:文档视界 › 基本逻辑门电路知识介绍

基本逻辑门电路知识介绍

基本逻辑门电路知识介绍
基本逻辑门电路知识介绍

基本逻辑门电路知识介绍

1.1 门电路的概念:

实现基本和常用逻辑运算的电子电路,叫逻辑门电路。实现与运算的叫与门,实现或运算的叫或门,实现非运算的叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平)

11.2 与门:

逻辑表达式F=A B

即只有当输入端A和B均为1时,输出端Y才为1,不然Y为0.与门的常用芯片型号有:74LS08,74LS09等.

11.3 或门:逻辑表达式F=A+ B

即当输入端A和B有一个为1时,输出端Y即为1,所以输入端A和B均为0时,Y才会为O.或门的常用芯片型号有:74LS32等.

11.4.非门逻辑表达式F=A

即输出端总是与输入端相反.非门的常用芯片型号有:74LS04,74LS05,74LS06,74LS14等.

11.5.与非门

逻辑表达式 F=AB

即只有当所有输入端A和B均为1时,输出端Y才为0,不然Y为 1.与非门的常用芯片型号有:74LS00,74LS03,74S31,74LS132等.

11.6.或非门:逻辑表达式 F=A+B

即只要输入端A和B中有一个为1时,输出端Y即为0.所以输入端A和B均为0时,Y才会为1.或非门常见的芯片型号有:74LS02等.

11.7.同或门: 逻辑表达式F=A B+A B

11.8.异或门:逻辑表达式F=A B+A B

11.9.与或非门:逻辑表逻辑表达式F=AB+CD

A

D

11.10.RS触发器:

电路结构

把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1.(a)所示。它有两个输入端R、S和两个输出端Q、Q。

工作原理 :

基本RS触发器的逻辑方程为:

根据上述两个式子得到它的四种输入与输出的关系:

1.当R=1、S=0时,则Q=0,Q=1,触发器置1。

2.当R=0、S=1时,则Q=1,Q=0,触发器置0。

如上所述,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。一般规定触发器Q端的状态作为触发器的状态。通常称触发器处于某种状态,实际是指它的

Q端的状态。Q=1、Q=0时,称触发器处于1态,反之触发器处于0态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。

同理,称R端为置0端或复位端。若触发器原来为1态,欲使之变为0态,必须令R端的电平由1变0,S端的电平由0变1。这里所加的输入信号(低电平)称为触发信号,由它们导致的转换过程称为翻转。由于这里的触发信号是电平,因此这种触发器称为电平控制触发器。从功能方面看,它只能在S和R的作用下置0和置1,所以又称为置0置1触发器,或称为置位复位触发器。其逻辑符号如图7.2.1(b)所示。由于置0或置1都是触发信号低电平有效,因此,S端和R端都画有小圆圈。

3.当R=S=1时,触发器状态保持不变。

触发器保持状态时,输入端都加非有效电平(高电平),需要触发翻转时,要求在某一输入端加一负脉冲,例如在S端加负脉冲使触发器置1,该脉冲信号回到高电平后,触发器仍维持1状态不变,相当于把S端某一时刻的电平信号存储起来,这体现了触发器具有记忆功能。

4.当R=S=0时,触发器状态不确定

在此条件下,两个与非门的输出端Q和Q全为1,在两个输入信号都同时撤去(回到1)后,由于两个与非门的延迟时间无法确定,触发器的状态不能确定是1还是0,因此称这种情况为不定状态,这种情况应当避免。从另外一个角度来说,正因为R端和S端完成置0、置1都是低电平有效,所以二者不能同时为0。

此外,还可以用或非门的输入、输出端交叉连接构成置0、置1触发器,其逻辑图和逻辑符号分别如图7.2.2(a)和7.2.2(b)所示。这种触发器的触发信号是高电平有效,因此在逻辑符号的S端和R端没有小圆圈。

2.特征方程

基本RS触发器的特性:

1.基本RS触发器具有置位、复位和保持(记忆)的功能;

2.基本RS触发器的触发信号是低电平有效,属于电平触发方式;

3.基本RS触发器存在约束条件(R+S=1),由于两个与非门的延迟时间无法确定;当R=S=0时,将导致下一状态的不确定。

4.当输入信号发生变化时,输出即刻就会发生相应的变化,即抗干扰性能较差。

基本逻辑门电路符号

基本逻辑门电路符号1、与逻辑(AND Logic)与逻辑又叫做逻辑乘,下面通过开关的工作状况 加以说明与逻辑的运算。 从上图可以看出,当开关有一个断开时,灯泡处于灭的状况,仅当两个开关同时合上时,灯泡才会亮。于是我们可以将与逻辑的关系速记为:“有0出0,全1出1”。 图(b)列出了两个开关的所有组合,以及与灯泡状况的情况,我们用0表示开关处于断开状况,1表示开关处于合上的状况;同时灯泡的状况用0表示灭,用1表示亮。 图(c)给出了与逻辑门电路符号,该符号表示了两个输入的逻辑关系,&在英文中是AND的速写,如果开关有三个则符号的左边再加上一道线就行了。 逻辑与的关系还可以用表达式的形式表示为:F=A·B 上式在不造成误解的情况下可简写为:F=AB。 2、或逻辑(OR Logic) 上图(a)为一并联直流电路,当两只开关都处于断开时,其灯泡不会亮;当A,B两个开关中有一个或两个一起合上时,其灯泡就会亮。如开关合上的状况用1表示,开关断开的状况用0表示;灯泡的状况亮时用1表示,不亮时用0表示,则可列出图(b)所示的真值表。这种逻辑关系就是通常讲的“或逻辑”,从表中可看出,只要输入A,B两个中有一个为1,则输出为1,否则为0。所以或逻辑可速记为:“有1出1,全0出0”。 上图(c)为或逻辑门电路符号,后面通常用该符号来表示或逻辑,其方块中的“≥1”表示输入中有一个及一个以上的1,输出就为1。逻辑或的表示式为:F=A+B 3、非逻辑(NOT Logic) 非逻辑又常称为反相运算(Inverters)。下图(a)所示的电路实现的逻辑功能就是非运算的功能,从图上可以看出当开关A合上时,灯泡反而灭;当开关断开时,灯泡才会亮,故其输出F的状况与输入A的状相 反。非运算的逻辑表达式为

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: 名称符号表达式 基本门电路与门Y = AB 或门Y = A+B 非门Y =A 复合门电路 与非门Y = AB 或非门Y = B A+ 与或非门Y = CD AB+ 异或门 Y = A⊕B =B A B A+ 同或门 Y = A⊙B =B A AB+ [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3)列真值表: A B C F 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1 组合逻辑电路 表达式 化简 真值表 简述逻辑功能

基本逻辑门电路1教案

题目:模块六数字电路的基本知识 第二节基本逻辑门 教学目的: 1、掌握与门、或门、非门的逻辑功能及逻辑符号; 2、掌握基本逻辑运算、逻辑函数的表示方法; 3、掌握三种基本的逻辑电路。 重点与难点:重点:基本逻辑关系:“与”关系、“或”关系、“非”关系 难点:基本逻辑门电路的工作原理及其逻辑功能 教学方法: 1、讲授法 2、演示法 组织教学: 1、检查出勤 2、纪律教育 课时安排: 2课时 教学过程(教学步骤、内容等) 模块六数字电路的基本知识 复习回顾: 1、什么叫模拟电路?什么叫数字电路? 2、常用的数制有哪几种?(要会换算) 导入新课: 数字电路为什么又叫逻辑电路?因为数字电路不仅能进行数字运算,而且还能进行逻辑推理运算,所以又叫数字逻辑电路,简称逻辑电路。 定义:所谓逻辑电路是指在该电路中,其输出状态(高、低电平)由一个或多个输入状态(高、低电平)来决定。 数字电路的基本单元是基本逻辑电路,它们反映的是事物的基本逻辑关系。 什么是门? 新课讲解: 基本逻辑门 三种基本逻辑关系 一、“与”逻辑 1、定义:如果决定某事物成立(或发生)的诸原因(或条件)都具备,事件才发生,而只要其中一个条件不具备,事物就不能发生,这种关系称为“与”关系。

2、示例:两个串联的开关控制一盏电灯。 A B 3、“与”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“0”出“0”,全“1”出“1” 5、逻辑符号:二、“或”逻辑 1、定义:A 、B 等多个条件中,只要具备一个条件,事件就会发生,只有所有条件均不具备的时候,事件才不发生,这种因果关系称为“或”逻辑。 2、示例:两个并联的开关控制一盏电灯。 A 3、“或”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“1”出“1”,全“0”出“0” 5、逻辑符号:三、“非”逻辑 1、定义:决定事件结果的条件只有一个A ,A 存在,事件Y 不发生,A 不存在,事件Y 发生,这种因果关系叫做“非”逻辑。 R

基本逻辑关系和常用逻辑门电路

第2章 基本逻辑关系和常用逻辑门电路 通常,把反映“条件”和“结果”之间的关系称为逻辑关系。如果以电路的输入信号反映“条件”,以输出信号反映“结果”,此时电路输入、输出之间也就存在确定的逻辑关系。数字电路就是实现特定逻辑关系的电路,因此,又称为逻辑电路。逻辑电路的基本单元是逻辑门,它们反映了基本的逻辑关系。 2.1 基本逻辑关系和逻辑门 2.1.1 基本逻辑关系和逻辑门 逻辑电路中用到的基本逻辑关系有与逻辑、或逻辑和非逻辑,相应的逻辑门为与门、或门及非门。 一、与逻辑及与门 与逻辑指的是:只有当决定某一事件的全部条件都具备之后,该事件才发生,否则就不发生的一种因果关系。 如图2.1.1所示电路,只有当开关A 与B 全部闭合时,灯泡Y 才亮;若开关A 或B 其中有一个不闭合,灯泡Y就不亮。 这种因果关系就是与逻辑关系,可表示为Y =A ?B ,读作“A 与B”。在逻辑运算中,与逻辑称为逻辑乘。 与门是指能够实现与逻辑关系的门电路。与门具有两个或多个输入端,一个输出端。其逻辑符号如图2.1.2所示,为简便计,输入端只用A 和B 两个变量来表示。 与门的输出和输入之间的逻辑关系用逻辑表达式表示为: Y =A ?B =AB 两输入端与门的真值表如表2.1.1所示。波形图如图2.1.3所示。 表2.1.1 与门真值表 (a )常用符号 (b )国标符号

由此可见,与门的逻辑功能是,输入全部为高电平时,输出才是高电平,否则为低电平。 二、或逻辑及或门 或逻辑指的是:在决定某事件的诸条件中,只要有一个或一个以上的条件具备,该事件就会发生;当所有条件都不具备时,该事件才不发生的一种因果关系。 如图2.1.4所示电路,只要开关A 或B 其中任一个闭合,灯泡Y 就亮;A 、B 都不闭合,灯泡Y 才不亮。这种因果关系就是或逻辑关系。可表示为: Y =A +B 读作“A 或B”。在逻辑运算中或逻辑称为逻辑加。 或门是指能够实现或逻辑关系的门电路。或门具有两个或多个输入端,一个输出端。其逻辑符号如图 2.1.5所示。 或门的输出与输入之间的逻辑关系用逻辑表达式表示为: Y =A +B 两输入端或门电路的真值表和波形图分别如表2.1.2和图2.1.6所示。 图2.1.3 与门的波形图 表2.1.2 图2.1.4 或逻辑举例

基本逻辑关系和常用逻辑门电路

第2章 基本逻辑关系和常用逻辑门电路 通常,把反映条件”和结果”之间的关系称为逻辑关系。如果以电路的输入信号反映 条 件”以输出信号反映 结果”此时电路输入、输出之间也就存在确定的逻辑关系。数字电 路就是实现特定逻辑关系的电路, 因此,又称为逻辑电路。逻辑电路的基本单元是逻辑门, 它们反映了基本的逻辑关系。 2.1 基本逻辑关系和逻辑门 2.1.1 基本逻辑关系和逻辑门 逻辑电路中用到的基本逻辑关系有与逻辑、 或逻辑和非逻辑,相应的逻辑门为与门、 或 门及非门。 一、与逻辑及与门 与逻辑指的是:只有当决定某一事件的全部条件都具备之后, 该事件才发生,否则就不 发生的一种因果关系。 如图2.1.1所示电路,只有当开关 A 与B 全部闭合时,灯泡 Y 才亮;若开关 A 或B 其 中有一个不闭合,灯泡Y 就不亮。 这种因果关系就是与逻辑关系, 可表示为Y = A.B,读作A 与B ”在逻辑运算中,与逻 辑称为逻辑乘。 A — & —Y B ― ____ (b )国标符号 图2.1.1与逻辑举例 图2.1.2与逻辑符号 与门是指能够实现与逻辑关系的门电路。 与门具有两个或多个输入端, 一个输出端。其 逻辑符号如图2.1.2所示,为简便计,输入端只用 A 和 B 两个变量来表示。 与门的输出和输入之间的逻辑关系用逻辑表达式表示为: Y = A ?B = AB 两输入端与门的真值表如表 2.1.1所示。波形图如图2.1.3所示。 表2.1.1 与门真值表 A B Y 0 0 亠 1 0 亠 (a )常用符号 母—

图2.1.3与门的波形图由此可见,与 门的逻辑功能是,输入全部为高电平时,输出才是高电平,否则为低电平。 二、或逻辑及或门 或逻辑指的是:在决定某事件的诸条件中,只要有一个或一个以上的条件具备,该事件就会发生;当所有条件都不具备时,该事件才不发生的一种因果关系。 如图2.1.4所示电路,只要开关A或B其中任一个闭合,灯泡Y就亮;A、B都不闭合,灯泡Y才不亮。这种因果关系就是或逻辑关系。可表示为: Y= A+ B 读作A或B”在逻辑运算中或逻辑称为逻辑加。 崖禺>■:甘, 图2.1.4 或逻辑举例(a)常用符号(b)国标符号 图2.1.5或逻辑符号 或门是指能够实现或逻辑关系的门电路。或门具有两个或多个输入端,一个输出端。其 逻辑符号如图2.1.5所示。 或门的输出与输入之间的逻辑关系用逻辑表达式表示为: =A+ B 表2.1.2 两输入端或门电路的真值表和波形图分别如表 2.1.2和图2.1.6所示。

基本逻辑关系和常用逻辑门电路.doc

通常,把反映“条件”和“结果”之间的关系称为逻辑关系。如果以电路的输入信号反映“条件”,以输出信号反映“结果”,此时电路输入、输出之间也就存在确定的逻辑关系。 数字电路就是实现特定逻辑关系的电路,因此,又称为逻辑电路。逻辑电路的基本单元是逻 辑门,它们反映了基本的逻辑关系。 基本逻辑关系和逻辑门 2.1.1基本逻辑关系和逻辑门 逻辑电路中用到的基本逻辑关系有与逻辑、或逻辑和非逻辑,相应的逻辑门为与门、或门及非门。 一、与逻辑及与门 与逻辑指的是:只有当决定某一事件的全部条件都具备之后,该事件才发生,否则就不发生的一种因果关系。 如图 2.1.1所示电路,只有当开关 A 与 B 全部闭合时,灯泡Y 才亮;若开关 A 或 B 其中有一个不闭合,灯泡Y就不亮。 这种因果关系就是与逻辑关系,可表示为Y= AB,读作“A 与 B”。在逻辑运算中,与 ( a)常用符号(b)国标符号 图 2.1.1与逻辑举例 图 2.1.2与逻辑符号 逻辑称为逻辑乘。 与门是指能够实现与逻辑关系的门电路。与门具有两个或多个输入端,一个输出端。其逻辑符号如图 2.1.2所示,为简便计,输入端只用 A 和 B 两个变量来表示。 与门的输出和输入之间的逻辑关系用逻辑表达式表示为: Y= AB= AB 两输入端与门的真值表如表 2.1.1所示。波形图如图所示。

表 2.1.1与门真值表 A B Y 0 0 0 0 1 0 1 0 0 1 1 1 图 2.1.3与门的波形图由此可见,与门的逻辑功能是,输入全部为高电平时,输出才是高电平,否则为低电平。 二、或逻辑及或门 或逻辑指的是:在决定某事件的诸条件中,只要有一个或一个以上的条件具备,该事件就会 发生;当所有条件都不具备时,该事件才不发生的一种因果关系。 如图 2.1.4 所示电路,只要开关 A 或 B 其中任一个闭合,灯泡 Y 就亮; A、B 都不闭合,灯泡 Y 才不亮。这种因果关系就是或逻辑关系。可表示为: Y=A+B 读作“A 或 B”。在逻辑运算中或逻辑称为逻辑加。 图 2.1.4或逻辑举例(a)常用符号(b)国标符号 图 2.1.5 或逻辑符号 或门是指能够实现或逻辑关系的门电路。或门具有两个或多个输入端,一个输出端。其逻辑符号如图 2.1.5所示。

第二章逻辑门电路2

电路中D 3、D 4的作用是提高开关速度,当U o 由1跳到0时,经D 3、D 4提供放电回路,加速U o 的下降速度。R 4电阻由接地改为接在U o 上的目的是降低静态功耗,R 1电阻取值改为20k Ω也是为了降低电路的功耗。该电路的电阻值比TTL 门电路相应的电阻值大,主要目的是降低电路的功耗。实现的是与非的逻辑功能。 电路中二极管采用肖特基二极管,其正向导通压降为,而肖特基三极管的发射极的正偏电压为,集电极的正偏电压为。因此,电路的阈值电压将变为: D BE5BE2T U U U U -+==+输出的高低电平值:U OH = U OL =。 输入端的短路电流I IL = 0.23mA 20 0.4 5=- 习题 习题图TTL 与非门电路所示的电路中,若在某一输入端与地之间接一电阻R ,其余输入端悬空,试问: ⑴保证与非门可靠关闭时的最大电阻即关门电阻R OFF 为多大值 ⑵保证与非门可靠开通时的最小电阻即开门电阻R ON 为多大值 解:若在输入端A 与地之间接一电阻R i ,则R i 与地之间的电压U i 为: (1)i i i R R R U U U ?+-= 1be1 cc ≤OFF U 即 i R ?+-R 30.7 5≤ R i ≤? R OFF ?700? (2) i i i R R R U U U ?+-= 1be1 cc ≥on U 即 i R ?+-R 30.7 5≥ 由此可得: R i ≥? , 一般选R ON =2k? 1.4V T 1be1 cc ==?+-U R R R U U i i 工程计算: 得 R ON =R OFF ?? 习题 习题图所示电路由TTL 与非门组成。设G 1~G 4门的平均传输延迟时间相同为30ns ,现测得输出端F 的振荡频率为,试求G 5的平均传输延迟时间t pd5。 解:根据F 的频率求出F 的振荡周期,T =,由于五个与非门输出为原信号的非,所以延迟时间应为T /2≈156ns ,则第五个与非门的延迟时间为36ns 。 习题图 F

基本逻辑门电路

课题:基本逻辑门电路 学校:莱州市高级职业学校姓名:贾春兰 二○○七年九月

讲授新课一、与逻辑和与门电路 1、与逻辑 实验: 结论:当决定某一事件的所有条 件都满足时,结果才会发生,这种条 件和结果之间的关系称为与逻辑关 系。 屏幕显示实验 电路,教师启 发、引导学生观 察:观察开关S1 和S2在不同工 作状态时,照明 灯HL的亮暗, 从而引导学生 归纳出与逻辑 关系 学生观察电 路,发现规 律:只有当 S1、S2都闭合 时,照明灯才 会亮,若有一 个开关不闭 合,照明灯就 不会亮 集中学生注 意力,活跃学 生思维,激发 学生学习兴 趣,培养学生 观察问题、分 析问题的能 力 教学过程 教学环节简明教学内容教师活动学生活动活动目的 课堂练习(一)与逻辑关系在生活中的应用举例。屏幕显示密 码保险柜的 开启,教师引 导学生思考, 并提出问题 学生观察电 路,回答问题 巩固新知 识,及时反 馈

讲授新课2、与门电路 1)逻辑符号 2)二极管与门电路 V A V B VD1 VD2 V L 0V 0V 3V 3V 0V 3V 0V 3V 导通 优先导通 截止 导通 导通 截止 优先导通 导通 0V 0V 0V 3V 3)真值表 A B L 0 0 0 1 1 0 1 1 1 4)逻辑功能 有0出0,全1出1 5)逻辑表达式 L=A·B或L=AB 教师直接绘 制与门电路 的逻辑符号, 并分析其特 点 屏幕显示二 极管与门电 路,介绍电路 的特点 教师引导学 生分析电路, 总结输出电 位V L和输入 电位V A和V B 的关系。 教师引导学 生绘制与门 电路的真值 表。 教师引导学 生观察真值 表,总结出逻 辑功能,写出 逻辑表达式。 学生观察逻 辑符号 学生观察电 路 学生在教师 的引导下,总 结输出电位 V L和输入电 位V A和V B的 关系。 学生总结规 律 学生总结规 律 增强学生的 直观性 理论联系实 际,激发学 生学习兴趣 培养学生分 析问题的能 力 提高学生归 纳总结能力 有利于学生 掌握规律, 便于应用 教学过程 教学环节简明教学内容教师活动学生活动活动目的

数电练习_组合逻辑电路知识分享

数电练习2013_组合 逻辑电路

一、填空题 1.分析组合逻辑电路的步骤为:(1);(2); (3); (4)根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。 2.在逻辑电路中,任意时刻的输出状态仅取决于该时刻输入信号的状态,而与信号作用前电路的状态无关,这种电路称为。因此,在电路结构上一般由组合而成。 3.十六进制数(F6.A)的等值八进制数是(),等值二进制数是 (),十进制数(56)的8421BCD编码是(),等值二进制数是()。 4. 实现两个一位二进制数相加,产生一位和值及一位进位值,但不考虑低位来的进位位的加法器称为________;将低位来的进位位与两个一位二进制数一起相加,产生一位和值及一位向高位进位的加法器称为________。 5.在下图所示的 卡诺图中,函数 F至少用个 与非门实现。设 输入原、反变量都提供。

6. 已知某组合电路的输入A、B、C、D及输出F的波形如图所示,则F对A、 B、C、D的最简与或表达式为F=。 参考答案: 1. (1)由逻辑图写出个输出端逻辑表达式;(2)化简和变换各逻辑表达式;(3)列出真值表 2. 组合逻辑电路门电路 3. 366.5 / 11110110.1010 / 01010110 / 111000 4. 半加器全加器 5. 3个 6.C B + C A+ D C 二、选择题 1.图(a)-(c)的三幅波形图中,正确表达了脉冲信号的宽度是() 2. 下列逻辑代数运算错误的是()

A. A 00=? B. A +1=A C. A A =?1 D. A +0=A 3.下列函数中等于A 的是( ) A. A +1 B. A A + C. AB A + D. A (A +B ) 4. 由开关组成的逻辑电路如图所示,设开关接通为“1”,断开为“0”,电灯亮为“1”,电灯L 暗为“0”,则该电路为( ) A. “与”门 B. “或”门 C. “非”门 D. 以上各项都不是 5.若把某一全加器的进位输出接至另一全加器的进位输入,则可构成( ) A. 二位并行进位的全加器 B. 二位串行进位的全加器 C. 一位串行进位的全加器 D. 以上各项都不是 6. 逻辑电路的真值表如下所示,由此可写出其逻辑函数表达式为( )。 A. C AB C B A C B A F ++= B. C B B A F += C. C B C B A F += D. AC B F += A B C F A B C F 0 1 1 1

第2章 逻辑门电路-习题答案

第2章逻辑门电路 2.1 题图2.1(a)画出了几种两输入端的门电路,试对应题图2.1(b)中的A、B波形画出各门的输出F1~ F6的波形。 题图2.1 解: 2.2 求题图2.2所示电路的输出逻辑函数F1、F2。 题图2.2 解:

2.3 题图2.3中的电路均为TTL门电路,试写出各电路输出Y1~Y8状态。 题图2.3 解: Y1=0, Y2=0, Y3=Hi-Z, Y4=0, Y5=0, Y6=0, Y7=0, Y8=0. 2.4 题图2.4中各门电路为CMOS电路,试求各电路输出端Y1、Y2和Y的值。 题图2.4 解: Y1=1, Y2=0, Y3=0. 2.5 6个门电路及A、B波形如题图2.5所示,试写出F1~F6的逻辑函数,并对应A、B波形画出 F1~F6的波形。

题图2.5 解: 2.6 电路及输入波形分别如题图2.6(a)和2.6(b)所示,试对应A、B、C、x1、x2、x3波形画出F端波 形。 题图2.6 解:

2.7 TTL与非门的扇出系数N是多少?它由拉电流负载个数决定还是由灌电流负载决定? 解: N≤8 N由灌电流负载个数决定. 2.8 题图2.8表示三态门用于总线传输的示意图,图中三个三态门的输出接到数据传输总线,D1D2、D3D4、…、D m D n为三态门的输入端,EN1、EN2、EN n分别为各三态门的片选输入端。试问:EN信号应如何控制,以便输入数据D1D2、D3D4、…、D m D n顺序地通过数据总线传输(画出EN1~EN n 的对应波形)。 题图2.8 解:用下表表示数据传输情况 2.9 某工厂生产的双互补对称反相器(4007)引出端如题图2.9所示,试分别连接成:(1)反相器; (2)三输入与非门;(3)三输入或非门。

2020年技能高考电气类《数字电路基础知识》试题含答案

2020年技能高考电气类《数字电路基础知识》试题含答案武船,技能高考,电气类,题库,含答案,中职,试卷,章节 《数字电路基础知识》试题 时间:60分钟总分:分班级:班命题人: 一、判断题 1. 与模拟信号相比 , 数字信号的特点是不连续的,间断的。 (正确) 2. 在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。 (正确) 3. 数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号,电路中的电子晶体管工作于放大状态。 (错误) 4. 逻辑函数是数字电路的特点及描述工具,输入、输出量是高、低电平,可以用二元常量 (0, 1) 来表示,输入量和输出量之间的关系是一种逻辑上的因果关系。 (正确) 5. 数字电路主要研究对象是电路的输出与输入之间的逻辑关系,数字电路和模拟电路采用的分析方法一样。(错误) 6. 以二进制作为基础的数字逻辑电路,可靠性较强。 电源电压的小的波动对其没有影响, 温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 (正确) 7. 由于数字电路中的器件主要工作在开关状态,因而采用的分析工具主要是逻辑代数, 用功能表、真值表、逻辑表达式、波形图等来表达电路的主要功能。 (正确)

8. 数字电路的研究方法是逻辑分析和逻辑设计,所需要的工具是普通代数。 (错误) 9. 数字电路稳定性好,不像模拟电路那样易受噪声的干扰。 (正确) 10. 在数字电路中,稳态时三极管一般工作在截止或放大状态。 (错误) 11. TTL门电路输入端悬空时,应视为输入高电平。 (正确) 12. 二进制数的进位关系是逢二进一,所以逻辑电路中有 1 1=10。 (正确) 13. 在逻辑变量的取值中,只有“1”与“ 0”两种状态。 (正确) 14. 在逻辑变量的取值中,无法比较1与 0的大小。 (正确) 15. 数字电路中输出只有两种状态:高电平 1和低电平 0。 (正确) 16. 在逻辑代数中,因为 A AB=A,所以 AB=0。 (错误) 将 2018个“ 1”与非得到的结果是 1。 (错误) 18. 在数字电路中,二输入“与”逻辑关系的逻辑函数表达式为 Y=A·B 。 (正确) 19. 在数字电路中,二输入“或”逻辑关系的逻辑函数表达式为 Y=A-B。 (错误) 20. 与非门逻辑功能为:输入只要有低电平,输出就为高电平。 (正确) 21. 与门逻辑功能为:输入都是低电平,输出才为高电平。 (错误) 22. 在基本逻辑运算中,与、或、非三种运算是最本质的,其他逻辑运算是其中两种或三种的组合。 (正确) 23. 在逻辑代数中, A AB=A B成立。 (错误)

基本逻辑关系和常用逻辑门电路

第2章基本逻辑关系和常用逻辑门电路 通常,把反映“条件”和“结果”之间的关系称为逻辑关系。如果以电路的输入信号反映“条件”,以输出信号反映“结果”,此时电路输入、输出之间也就存在确定的逻辑关系。数字电路就是实现特定逻辑关系的电路,因此,又称为逻辑电路。逻辑电路的基本单元是逻辑门,它们反映了基本的逻辑关系。 2.1 基本逻辑关系和逻辑门 2.1.1 基本逻辑关系和逻辑门 逻辑电路中用到的基本逻辑关系有与逻辑、或逻辑和非逻辑,相应的逻辑门为与门、或门及非门。 一、与逻辑及与门 与逻辑指的是:只有当决定某一事件的全部条件都具备之后,该事件才发生,否则就不发生的一种因果关系。 如图2.1.1所示电路,只有当开关A与B全部闭合时,灯泡Y才亮;若开关A或B其中有一个不闭合,灯泡Y就不亮。 这种因果关系就是与逻辑关系,可表示为Y=A?B,读作“A与B”。在逻辑运算中,与逻辑称为逻辑乘。 与门是指能够实现与逻辑关系的门电路。与门具有两个或多个输入端,一个输出端。其逻辑符号如图2.1.2所示,为简便计,输入端只用A和B两个变量来表示。 与门的输出和输入之间的逻辑关系用逻辑表达式表示为: Y=A?B=AB 两输入端与门的真值表如表2.1.1所示。波形图如图2.1.3所示。 A B Y 0 0 0 0 1 0 1 0 0 表2.1.1 与门真值表 图2.1.1 与逻辑举例 (a)常用符号(b)国标符号 图2.1.2 与逻辑符号

1 1 1 由此可见,与门的逻辑功能是,输入全部为高电平时,输出才是高电平,否则为低电平。 二、或逻辑及或门 或逻辑指的是:在决定某事件的诸条件中,只要有一个或一个以上的条件具备,该事件就会发生;当所有条件都不具备时,该事件才不发生的一种因果关系。 如图2.1.4所示电路,只要开关A或B其中任一个闭合,灯泡Y就亮;A、B都不闭合,灯泡Y才不亮。这种因果关系就是或逻辑关系。可表示为: Y=A+B 读作“A或B”。在逻辑运算中或逻辑称为逻辑加。 或门是指能够实现或逻辑关系的门电路。或门具有两个或多个输入端,一个输出端。其逻辑符号如图2.1.5所示。 或门的输出与输入之间的逻辑关系用逻辑表达式表示为: Y=A+B 两输入端或门电路的真值表和波形图分别如表2.1.2和图2.1.6所示。 A B Y 0 0 0 0 1 1 1 0 1 1 1 1 图2.1.3 与门的波形图 表2.1.2 图2.1.4 或逻辑举例(a)常用符号(b)国标符号 图2.1.5 或逻辑符号

第六章组合逻辑电路详解知识分享

第六章组合逻辑电路 详解

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值 0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出

真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。 三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许 两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示, 那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

基本逻辑门电路知识介绍

基本逻辑门电路知识介绍 1.1 门电路的概念: 实现基本和常用逻辑运算的电子电路,叫逻辑门电路。实现与运算的叫与门,实现或运算的叫或门,实现非运算的叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平) 11.2 与门: 逻辑表达式F=A B 即只有当输入端A和B均为1时,输出端Y才为1,不然Y为0.与门的常用芯片型号有:74LS08,74LS09等. 11.3 或门:逻辑表达式F=A+ B 即当输入端A和B有一个为1时,输出端Y即为1,所以输入端A和B均为0时,Y才会为O.或门的常用芯片型号有:74LS32等. 11.4.非门逻辑表达式F=A

即输出端总是与输入端相反.非门的常用芯片型号有:74LS04,74LS05,74LS06,74LS14等. 11.5.与非门 逻辑表达式 F=AB 即只有当所有输入端A和B均为1时,输出端Y才为0,不然Y为 1.与非门的常用芯片型号有:74LS00,74LS03,74S31,74LS132等. 11.6.或非门:逻辑表达式 F=A+B 即只要输入端A和B中有一个为1时,输出端Y即为0.所以输入端A和B均为0时,Y才会为1.或非门常见的芯片型号有:74LS02等. 11.7.同或门: 逻辑表达式F=A B+A B 11.8.异或门:逻辑表达式F=A B+A B

11.9.与或非门:逻辑表逻辑表达式F=AB+CD A D 11.10.RS触发器: 电路结构 把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1.(a)所示。它有两个输入端R、S和两个输出端Q、Q。 工作原理 : 基本RS触发器的逻辑方程为: 根据上述两个式子得到它的四种输入与输出的关系: 1.当R=1、S=0时,则Q=0,Q=1,触发器置1。 2.当R=0、S=1时,则Q=1,Q=0,触发器置0。 如上所述,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。一般规定触发器Q端的状态作为触发器的状态。通常称触发器处于某种状态,实际是指它的

基本的逻辑运算-基本逻辑门电路符号

基本的逻辑运算表示式-基本逻辑门电路符号 1、与逻辑(AND Logic) 与逻辑又叫做逻辑乘,通过开关的工作加以说明与逻辑的运算。 从上图看出,当开关有一个断开时,灯泡处于灭的,仅当两个开关合上时,灯泡才会亮。于是将与逻辑的关系速记为:“有0出0,全1出1”。 图(b)列出了两个开关的组合,以及与灯泡的,用0表示开关处于断开,1表示开关处于合上的; 灯泡的用0表示灭,用1表示亮。 图(c)给出了与逻辑门电路符号,该符号表示了两个输入的逻辑关系,&在英文中是AND的速写,开关有三个则符号的左边再加上一道线就行了。 逻辑与的关系还用表达式的形式表示为: F=A·B 上式在不造成误解的下可简写为:F=AB。 2、或逻辑(OR Logic) 上图(a)为一并联直流电路,当两只开关都处于断开时,其灯泡不会亮;当A,B两个开关中有一个或两个一起合上时,其灯泡就会 亮。如开关合上的用1表示,开关断开的用0表示;灯泡的亮时用1表示,不亮时用0表示,则可列出图(b) 的真值表。这种逻辑关系通常讲的“或逻辑”,从表中可看出,只要输入A,B两个中有一个为1,则输出为1,否则为0。 或逻辑可速记为:“有1出1,全0出0”。 上图(c)为或逻辑门电路符号,通常用该符号来表示或逻辑,其方块中的“≥1”表示输入中有一个及一个的1,输出就为1。 逻辑或的表示式为: F=A+B 3、非逻辑(NOT Logic) 非逻辑又常称为反相运算(Inverters)。下图(a)的电路实现的逻辑功能非运算的功能,从图上看出当开关A 合上时,灯泡反而灭;当开关断开时,灯泡才会亮,故其输出F的与输入A的相反。非运算的逻辑表达式为 图(c)给出了非逻辑门电路符号。

组合逻辑电路知识材料

4 组合逻辑电路 4.1 组合逻辑电路的分析 4.1.1 写出如图题4.1.1所示电路对应的真值表。 解:(1)根据图题4.1.1(a )所示的逻辑图,写出其逻辑表达式,并进行化简和变换得 L AB A B BC C AB A B BC C AB AB B C B A C =+++?=++++=+++=++ 根据上述逻辑表达式列出真值表如表题解4.1.1(a )所示。 (2) 根据图题4.1.1(b )所示的逻辑图,写出逻辑表达式,并进行化简和变换得 2()L ABC ABC A BC BC =+=+ 12()()0L L ABC A BC BC ABC A BC BC ABC =+=++=+?==1

根据上述逻辑表达式列出真值表,如表题解4.1.1(b)所示。 4.1.2组合逻辑电路及输入波形(A、B)如图题4.1.2所示,试写出输出端的逻辑表达式 并画出输出波形。 解:由逻辑电路写出逻辑表达式 =+= L AB AB A B 首先将输入波形分段,然后逐段画出输出波形。当A、B信号相同时,输出为1,不同时,输出为0,得到输出波形,如图题解4.1.2所示。 4.1.4 试分析图题4.1.4所示逻辑电路的功能。

解:组合逻辑电路的分析步骤是,首先由逻辑电路写出逻辑表达式,然后根据逻辑表达式列出真值表,再由真值表判断逻辑功能。由逻辑电路写出逻辑表达式 L A C D =⊕⊕⊕ ()() 列出真值表,如表题解4.1.4所示。 由真值表可知,输入奇数个1(或0),输出L=1,输入偶数 个1(或0),输出L=0.该电路为奇校电路。 4.1.5 逻辑电路如图题4.1.5所示,试分析其逻辑功能。

基本逻辑门电路符号和口诀

无论多么复杂的单片机电路,都是由若干基本电路单元组成的。 2.2.1 常用的逻辑门电路最基本的门电路是与、或、非门,把它们适当连接可以实现任意复杂的逻辑功能。用小规模集成电路构成复杂逻辑电路时,最常用的门电路是与(AND)、或(OR)、非(INV BUFF)、恒等(BUFF)、与非(NAND)、或非(NOR)、异或(XOR)。主要是因为这7种电路既可以完成基本逻辑功能,又具有较强的负载驱动能力,便于完成复杂而又实用的逻辑电路设计。 1.与门与门是一个能够实现逻辑乘运算的、多端输入、单端输出的逻辑电路,逻辑函数式:F = A·B 其记忆口诀为:有0出0,全1才1。 2.或门或门是一个能够实现逻辑加运算的多端输入、单端输出的逻辑电路,逻辑函数式:F = A+B 其记忆口诀为:有1出1,全0才0。 3.非门实现非逻辑功能的电路称为非门,有时又叫反相缓冲器。非门只有一个输入端和一个输出端,逻辑函数式是:F =A非 非门逻辑符号4.恒等门实现恒等逻辑功能的电路称为恒等门,又叫同相缓冲器。恒等门只有一个输入端和一个输出端,逻辑函数式是:F = A同相缓冲器和反相缓冲器在数字系统中用于增强信号的驱动能力。 5.与非门与和非的复合运算称为与非运算,逻辑函数式是:F = A.B非其记忆口诀为:有0出1,全1才0。 6.或非门

或与非的复合运算称为或非运算,逻辑函数式是:F = A+B非其记忆口诀为:有1出0,全0才1。 7.异或门异或逻辑也是一种广泛应用的复合逻辑,其记忆口诀为:相同出0,不同出1。 逻辑门电路是单片机外围电路运算、控制功能所必需的电路。在单片机系统中我们经常使用集成逻辑电路(常称为集成电路)。一片集成逻辑门电路中通常含有若干个逻辑门电路,如7400为4重二输入与非门,即7400内部有4个二输入的与非门。 高速CMOS74HC逻辑系列集成电路具有低功耗、宽工作电压、强抗干扰的特性,是单片机外围通用集成电路的首选系列。随着单片机内部功能的不断增强和硬件软件化,外部所用的逻辑门电路将越来越少。8.门电路的国标符号与国际流行符号常用门电路国标符号与国际流行符

11知识单元-组合逻辑电路

第三章 组合逻辑电路 S11101B 在下图所示的组合电路框图中,若m A A A ,,,21 为输入逻辑变量,n Y Y Y ,,,21 为输出逻辑函数,其输入和输出 间的函数关系可表示为: =1Y ,由此可见,组合电 路的输出只决定于 而与 无关。 解: ),,,(21m A A A F 、该时刻输入变量、信号作用前电路状态 S11101G 中规模集成BCD 8421码七段显示译码器主要包括三个部分,即 、 和 。 解: 30~A A 输入端、g a Y Y ~输出端、C B Y I 灯控制端 S11101I 组合逻辑电路的设计步骤为: (1) ;(2) ;(3)简化和变换逻辑表达式,从而画出逻辑图。 解: ⑴由电路的功能要求,列出真值表;(2)由真值表写出逻辑表达式; S11101N 4线-10线译码器有 个输入端, 个输出端, 个不用的状态。 解: 4、10、6 S11102B 分析组合逻辑电路的步骤为: (1) ; (2) ; (3) ; (4)根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。 解: 由逻辑图写出个输出端逻辑表达式、化简和变换各逻辑表达式、列出真值表 S11102I 如图所示逻辑图,逻辑表达式1F = ; F = 。 解: 01101==??=A F ;B B F F =+=1 S11102N 三个JK 触发器组成的计数器,最多有效状态是 个,它是 进制计数器;若要构成五进制计数器,最少需 个触发器,它的无效状态有 个。 解: 8、八、三、3 S11103B 数字比较器是用于对两数 ,以判断其 的逻辑电路。 解: 进行比较、大小

S11201B 以下各电路中属于组合逻辑电路有( )。 A. 编码器 B. 译码器 C. 寄存器 D. 计数器 解: A B S11201G 下图右侧电路为一种二极管——三极管的逻辑门,它的逻辑符号为( )。 解:C S11201I 如图所示逻辑电路其逻辑表达式为( )。 A. B A Y += B. ))((B A B A Y +?= C. ()B A Y ?= D. ()()B A B A Y +??= 解:D S11201N 13874LS 是3线—8线译码器,译码为输入低电平有效,若输入为100012=A A A 时,输出 01234567Y Y Y Y Y Y Y Y 为( )。 A. 00010000 B. 11101111 C. 11110111 D. 00000100 解:B S11202B 组合逻辑电路任何时刻的输出信号与该时刻的输入信号( ),与电路原来所处的状态( )。 A. 无关,无关 B. 无关,有关 C. 有关,无关 D. 有关,有关 解:C S11202G 逻辑函数∑ ∑ +=m d D C B A L )15,14,13,12,11,10()9,6,5,2,1(),,,(,化简结果为( )。 A. D C A D C B D C A ++ B. D C A D C B CD A ++ C. D C D C + D. CD D C + 解:C S11202I 半加器的逻辑关系是( )。 A. 与非 B. 或非 C. 与或非 D. 异或 解:D S11202N 在四变量卡诺图中,逻辑上不相邻的一组最小项为( )。 A. 31,m m B. 64,m m

基本逻辑门电路

第一节基本逻辑门电路 1、1门电路得概念: 实现基本与常用逻辑运算得电子电路,叫逻辑门电路。实现与运算得叫与门,实现或运算得叫或门,实现非运算得叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平) 11、2与门: 逻辑表达式F=A B 即只有当输入端A与B均为1时,输出端Y才为1,不然Y为0、与门得常用芯片型号有:74LS08,74LS09等、 11、3 或门: 逻辑表达式F=A+ B 即当输入端A与B有一个为1时,输出端Y即为1,所以输入端A与B均为0时,Y才会为O、或门得常用芯片型号有:74LS32等、 11、4.非门逻辑表达式F=A 即输出端总就是与输入端相反、非门得常用芯片型号有:74LS04,74LS05,74LS06,74LS14等、 11、5.与非门逻辑表达式 F=AB 即只有当所有输入端A与B均为1时,输出端Y才为0,不然Y为1、与非门得常用芯片型号有:74LS00,74LS03,74S31,74LS132等、 11、6。或非门: 逻辑表达式F=A+B

即只要输入端A与B中有一个为1时,输出端Y即为0、所以输入端A与B均为0时,Y才会为1、或非门常见得芯片型号有:74LS02等、 11、7。同或门: 逻辑表达式F=A B+A B A F B 11、8、异或门:逻辑表达式F=A B+A B A F B 、9、与或非门:逻辑表逻辑表达式F=AB+CD A B C F 1、10、RS触发器: 电路结构 把两个与非门G1、G2得输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1、(a)所示.它有两个输入端R、S与两个输出端Q、Q. 工作原理 : 基本RS触发器得逻辑方程为: =1 =1 & ≥1

相关文档