文档视界 最新最全的文档下载
当前位置:文档视界 › 计算机组成原理试卷答案及解析

计算机组成原理试卷答案及解析

计算机组成原理试卷答案及解析
计算机组成原理试卷答案及解析

华东交通大学2014—2015学年第二学期考试卷

试卷编号: ( A )卷

计算机组成原理 课程 课程类别:必√、限、任 闭卷( )、开卷(范围)(√):仅限上课教材考试日期:2015-6-25

考生注意事项:1、本试卷共 页,总分100分,考试时间120分钟。

2、考试结束后,考生不得将试卷、答题纸和草稿纸带出考场。

一、填空题(每空1分,共20分)。

1、外部设备包括_______、_______和_______,又叫外围设备。

2、系统软件主要包括_______、_______和________。

3、4位二进制数可表示______种代码,8位二进制数可表示_______种代码。

4、浮点数运算可由_______运算和

_______来实现。

5、一条指令包括_______和_______两个部分,它们都是采用_______表示的。

6、主机对主存的主要要求是_______、_______和________、_______。

7、控制器的三个功能是决定_______,给出_______,处理_______。

二、选择题(每题2分,共30分)

1、已知:[X]补=,[Y]补=01001010,则[X-Y]补=______。 A. B. C. D.溢出

2、在用________表示的机器中,零的表示是唯一的。

A.原码

B.反码

C.补码

D.阶码

存储器的内容应与主存储器的相应单元的内容_______。

A.保持一致

B.可以不一致

C.无关

4、在总线中地址总线的功能是_______。

A.用于选择存储器单元

B.用于选择存储器单元和各个通用寄存器

C. 用于选择进行信息传输的设备

D.用于选择指定存储器单元和选择I/O设备接口电路的地址

5、现代计算机的处理对象是________。

A.二进制数

B. 文字

C. 十进制数

D.电压、电流

6、计算机中数据处理中心是________。

A.主机

B.运算器

C. 控制器 O系统

7、中断向量地址是_______。

A.子程序的入口地址

B.中断服务子程序的入口地址

C.中断服务子程序入口地址指示器

D. 外设程序入口地址

8、计算机存储器用来存放被运算的数据和程序,如果读出一个存储单元的内容后,该单元的内容________。

A.清零

B.保持不变

C.被取走

D. 不定

9、CPU中通过寄存器的位数决定________。

A.指令长度

B.数的精度

C.机器字长

D.主存储量

10、下列哪种指令不属于程序控制指令。

A. 无条件转移指令

B. 条件转移指令

C. 中断隐指令

D. 转移指令

11、计算机的中央处理器是指_________。

A. 主机

B. 运算器

C. CPU

D. 控制器

12、计算机可以运行用各种高级程序语言设计编写的程序,但都必须经过变换成最终计算机能够辨别的,才能执行。

A.二进制机器语言

B.汇编语言

C.中间语言

D.操作系统语言

13、机器数中,_______码的零的表示方法是唯一的。

A.原码

B. 反码

C.补码

D.补码和移码

14、汇编语言是把机器指令中的操作码,地址码用_______表示的符号语言,便于理解、记忆、编程。

A. 符号

B.二进制数码 D.便于记忆英文编写符号

15、计算机的指令部件包括________。

A. 控制器、运算器

B.指令计算器、指令寄存器、指令译码器

C.地址寄存器、数据寄存器、接口

D.控制存储器、地址寄存器、数据缓冲器

三、简答题。(每题5分,共20分)

1、什么叫CPU什么叫主机

2、求二进制数用十进制数表示是多少

3、什么叫静态存储器什么叫动态存储器

4、说明输入设备和输出设备的作用。

四、分析与计算题。(每题8分,共16分)

1、写出下列二进制数的原码、补码、反码和移码。(1) +1011 (2) +

2、已知x=,y=,求[x+y]补,[x-y]补的值。

五、综合题(共14分)

参考答案及解析

一、填空题。

1、解:输入设备输出设备外存储器

解析:简称“外设”。计算机系统中输入、输出设备(包括外存储器)的统称。对数据和信息起着传输、转送和存储的作用。是计算机系统中的重要组成部分。外围设备涉及到主机以外的然后设备。外围设备是附属的或辅助的与计算机连接起来的设备。外围设备能扩充计算机系统。

2、解:语言处理程序操作系统数据库管理系统

解析:系统软件是指控制和协调计算机及外部设备,支持应用软件开发和运行的系统,是无需用户干预的各种程序的集合,主要功能是调度,监控和维护计算机系统;负责管理计算机系统中各种独立的硬件,使得它们可以协调工作。系统软件使得计算机使用者和其他软件将计算机当作一个整体而不需要顾及到底层每个硬件是如何工作的。

3、解:16 256

解析:2^4=16 , 2^8=256

4、解:阶码尾数

解析:浮点数包括阶码和尾数两个部分,阶码用来表示数据范围大小,用定点整

数表示,尾数表示数据精度,用定点小数表示。虽然功能不同但都是定点数,定点数运算方法对它们适用。

5、解:操作码地址码二进制数

解析:指令通常由两部分组成:操作码+地址码。操作码:指明该指令要完成的操作的类型或性质;地址码:指明操作对象的内容或所在的存储单元地址。它们都采用二进制数表示。

6、解:速度快容量大成本低可靠

解析:主存是CPU可以直接随机访问的存储空间,主机对主存的要求是速度、容量、带宽和可靠性。

7、解:程序执行顺序完成指令功能的操作控制指令异常情况

解析:控制器是整个计算机的控制中心,负责程序中指令的执行顺序,发出各种控制命令控制各个部件完成指令规定的功能。也负责处理计算机中程序的各种异常情况。

二、选择题。

1、A. 解析:[X-Y]补=[X]补+[-Y]补=+=

2、C. 解析:[+0]补=0000...0 [-0]补=1111...1+1=0000 0

[+0]原=0000...0 [-0]原=1000 0

[+0]反=0000...0 [-0]反=1111 (1)

3、A. 解析:cache实际上是主存的一个副本,其内容必须与主存相应的内容保存一致。

4、D. 解析:在计算机中,只有主存和I/O设备接口的各个端口需要专门的地址工CPU识别,因此地址总线就是用来指定内存单元或I/O识别接口的端口地址。

5、A. 解析:计算机可以处理文字和十进制数,但是都是通过先把它们变成二进制代码实现的,现代计算机中,处理的基本对象是二进制数据,表示二进制数的

两个符号“0”和“1”是用特定的点位的高低实现的不是任意的电压都能识别的。

6、B. 解析:数据处理主要指算术运算和逻辑运算,它们都是在运算器中完成的。

7、C. 解析:中断向量地址是指存放中断服务程序地址的地址。

8、B. 解析:存储器的主要功能是存放被运算的数据和程序,只有不停电,不写入新的内容,其内容就保持不变。

9、C.解析:通过寄存器可以用于传送和暂存数据,也可参与算术运算逻辑,并保存运算结果,且通用存储器的长度取决于机器字长。

10、C. 解析:程序控制指令用来改变程序原来的执行顺序。程序控制指令主要包括:转移指令、跳跃指令、子程序调用指令和返回指令。

11、C. 解析:计算机中运算器是数据处理中心,控制器是计算机的指挥控制中心,二者合称中央处理器,英文缩写CPU。

12、A. 解析:计算机之能识别二进制指令,因此在运行各种高级语言编写的程序时,都必须通过编译程序、解释程序和各种转换程序,把各种高级语言转换成机器语言才能在机器上执行。

13、D. 解析:原码表示法是符号位+绝对值,正数符号用0表示,负数符号用1表示。反码表示法是符号位表示与原码相同,数值位正数用绝对值表示,负数用绝对值的相反数表示,即0变成1,1变成0。补码表示法是符号位表示与原码、反码相同,正数符号用“0”表示,负数符号用“1”表示。移码用于表示浮点数阶码,为定点整数,表示方法与补码类似,但符号位相反,即正数的移码符号位为1,负数为0。

14、D. 解析:汇编语言是用符号代替机器指令功能的语言,它使用人们容易理解的英文单词缩写符号或地址代号,代替地址中的二进制操作码和地址码。

15、B.解析:指令部件包括指令计算器、指令存储器、指令译码器,三个部件都直接与本条指令有关。

三、简答题。

1、解:CPU是中央处理器英文缩写,包括运算器和控制器,是计算机大的核心部件。计算机由五大部件组成,其中运算器、控制器和存储器称为计算机的主机,是计算机执行程序的主体。

解析:中央处理器(CPU, Central Processing Unit)是一块超大规模的集成电路,是一台计算机的运算核心和控制核心。它的功能主要是解释计算机指令以及处理计算机软件中的数据。中央处理器主要包括运算器和高速缓存存储器及实现它们之间联系的数据、控制及状态的总线。主机是指计算机除去输入输出设备以外的主要机体部分。也是用于放置主板及其他主要部件的控制箱体。通常包括CPU、内存、硬盘、光驱、电源、以及其他输入输出控制器和接口。

2、解:设一个二进制数

N = K3 K2 K1 K-2

= K3*2^3 +K2*2^2 +K1*2^1 +K0*2^0 + K-1*2^-1 +K-2*2^-2

其中K i分别为二进制数列中某个数位取值,2^i为位数K i对应的位权。因此(2 =1*2^3 + 0*2^2 + 0*2^1 + 1*2^0 +1*2^-1 + 1*2^-2

=(8+0+0+1++10

=10

解析:十进制计数制,表示1位数用10个不同的符号,相邻数位间进位关系是

逢10进1。二进制计数制,表示1位数用2个不同的符号(0,1),相邻数位间进位关系是逢2进1。

3、解:静态存储器是因此写入该单元,只要不该写它,补断开电源,其单元内容保持不变;动态存储器是一次写入信息后,还需定时刷新重写,才能保持该单元内容不变。

解析:二者都是存储器的一种,读写机理不同。动态存储器工艺简单、集成度高、

体积小、速度比静态存储器略低,是当前存储器的主流。

4、解:输入设备和输出设备是计算机与外部世界交往的桥梁,简称I/O设备。

输入设备需要把外部信息,包括数字、声音、图像等信息转换成二进制编码输入到计算机存储器中保存,等待运算器处理。输出设备需要把计算机内的二进制编码转换成人们容易辨识的,数字、声音、图像等信息并传送给人们。

解析:计算机的外部设备之一,可以和计算机进行交互使用,如键盘、显示器等,是人与计算机交互的一种部件。

四、分析计算题。

1、解:(1)[+1011]原=01011 [-1011]原=11011

[+1011]反=01011 [-1011]反=10100

[+1011]补=01011 [-1011]补=10101

[+1011]移=11011 [-1011]移=00101

(2)小数不用移码表示

[+1011]原=01101 [-1011]原=11101

[+1011]反=01101 [-1011]反=10010

[+1011]补=01101 [-1011]补=10011

解析:原码表示法是符号位+绝对值,正数符号用0表示,负数符号用1表示。反码表示法是符号位表示与原码相同,数值位正数用绝对值表示,负数用绝对值的相反数表示,即0变成1,1变成0。补码表示法是符号位表示与原码、反码相同,数值位正数用绝对值表示,负数用其补数表示,即数值各位变相反值,末位加+1,即变成反码末位+1。移码用于表示浮点数阶码,为定点整数,表示方法与补码类似,但符号位相反,即正数的移码符号位为1,负数为0。

2、解:[x+y]补=[x]补+[y]补, [x-y]补=[x]补+[-y]补

必须先求出[x]补、[y]补、[-y]补

[x]补=[]补=

[y]补=[]补=

[-y]补=[]补=

运算时数据必须用双符号位:

[x+y]补=[x]补+[y]补=+=

表示结果为正数,但二数之和大于1,溢出了,称为正溢。

[x-y]补=[x]补+[-y]补=+=

解析:丢掉最高符号位的进位,对结果不产生影响,可把其看做是模数。补码数据,加减模数的若干整数倍,对补码数据不产生影响。当符号位用1位二进制数表示时符号位之进位为2,因此把其模看做2。当符号位用2位二进制数表示时,最高符号位之进位为4,因此把其模看做4。所以有时把双符号位补码运算财务模4补码运算。使用双符号位准确判断运算结果是否溢出。

五、综合题。

心得体会

计算机原理是信息计算的一门必修课,其中包括计算机的逻辑部

件、运算方法和运算部件、存储器、指令系统、输入输出系统等。

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

计算机组成原理2003秋试卷

哈工大 2003 年 秋 季学期 题号 一 二 三 四 五 六 七 八 九 十 总分 分数 一、 填空题(24分) 1.DMA 的数据块传送可分为 、 和 阶段。 2.设 n = 16 (不包括符号位),机器完成一次加和移位各需100ns ,则原码一位乘最多需 ns,补码Booth 算法最多需 ns 。 3.设相对寻址的转移指令占2个字节,第一字节为操作码,第二字节是位移量(用补码表示),每当CPU 从存储器取出一个字节时,即自动完成(pc )+ 1→ pc 。设当前指令地址为3008H ,要求转移到300FH ,则该转移指令第二字节的内容应为 。若当前指令地址为300FH ,要求转移到3004H ,则该转移指令第二字节的内容为 。 4.设浮点数阶码为8位(含1位阶符),用移码表示,尾数为24位(含1位数符),用补码规格化表示,则对应其最大正数的机器数形式为 ,真值为 (十进制表示); 对应其绝对值最小负数的机器数形式为 ,真值为 (十进制表示)。 5.利用 指令进行输入输出操作的I/O 编址方式为统一编址。 班号 姓名 计算机组成原理 试 题

6.一个组相联映像的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共位,其中主存字块标记应为位,组地址应为位,Cache地址共位。 7.和组成存储系统的层次结构。 8.在总线集中式判优控制中,方式对故障很敏感, 方式速度最快。对于同步通信而言,影响其效率的主要因素是,它一般用于场合。 二、解释下列概念(20分) 1.机器周期和时钟周期 2.周期挪用和向量地址 3.中断隐指令及其功能 4.双重分组跳跃进位

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理试卷4

计算机组成原理试题4 一、选择题(共20分,每题1分) 1.一条指令中包含的信息有。 A.操作码、控制码; B.操作码、向量地址; C.操作码、地址码。 2.在各种异步通信方式中,______速度最快。 A.全互锁; B.半互锁; C.不互锁。 3.一个512KB的存储器,其地址线和数据线的总和是______。 A.17; B.19; C.27。 4.在下列因素中,与Cache的命中率无关的是。) A.Cache块的大小; B.Cache的容量; C.主存的存取时间。 5.在计数器定时查询方式下,若计数从0开始,则______。 A.设备号小的优先级高; B.每个设备使用总线的机会相等; C.设备号大的优先级高。 6.Cache的地址映象中,若主存中的任一块均可映射到Cache内的任一块的位置上,称作。 A.直接映象; B.全相联映象; C.组相联映象。 7.中断服务程序的最后一条指令是______。 A.转移指令; B.出栈指令; C.中断返回指令。 8.微指令操作控制字段的每一位代表一个控制信号,这种微程序的控制(编码)方式是______。 A.字段直接编码; B.直接编码; C.混合编码。 9.在取指令操作之后,程序计数器中存放的是______。 A.当前指令的地址; B.程序中指令的数量; C.下一条指令的地址。 10.以下叙述中______是正确的。 A.RISC机一定采用流水技术;

B.采用流水技术的机器一定是RISC机; C.CISC机一定不采用流水技术。 11.在一地址格式的指令中,下列是正确的。 A.仅有一个操作数,其地址由指令的地址码提供; B.可能有一个操作数,也可能有两个操作数; C.一定有两个操作数,另一个是隐含的。 12.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同; B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同; D.阶符与数符不同。 13.I/O采用不统一编址时,进行输入输出操作的指令是______。 A.控制指令; B.访存指令; C.输入输出指令。 14.设机器字长为64位,存储容量为128MB,若按字编址,它的寻址范围是。 A.16MB; B.16M; C.32M。 15.寻址便于处理数组问题。 A.间接寻址; B.变址寻址; C.相对寻址。 16.超标量技术是______。 A.缩短原来流水线的处理器周期; B.在每个时钟周期内同时并发多条指令; C.把多条能并行操作的指令组合成一条具有多个操作码字段的指令。 17.以下叙述中______是错误的。 A.取指令操作是控制器固有的功能,不需要在操作码控制下完成; B.所有指令的取指令操作都是相同的; C.在指令长度相同的情况下,所有指令的取指操作都是相同的。 18.I/O与主机交换信息的方式中,中断方式的特点是______。 A.CPU与设备串行工作,传送与主程序串行工作; B.CPU与设备并行工作,传送与主程序串行工作; C.CPU与设备并行工作,传送与主程序并行工作。 19.设寄存器内容为11111111,若它等于+127,则为______。 A.原码; B.补码; C.反码; D.移码。 20.设机器数采用补码形式(含l位符号位),若寄存器内容为9BH,则对应的十进制数为______。 A.-27; B.-97;

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

计算机组成原理试卷答案及解析

华东交通大学2014—2015学年第二学期考试卷 试卷编号: ( A )卷 计算机组成原理 课程 课程类别:必√、限、任 闭卷( )、开卷(范围)(√):仅限上课教材考试日期:2015-6-25 考生注意事项:1、本试卷共 页,总分100分,考试时间120分钟。 2、考试结束后,考生不得将试卷、答题纸和草稿纸带出考场。 一、填空题(每空1分,共20分)。 1、外部设备包括_______、_______和_______,又叫外围设备。 2、系统软件主要包括_______、_______和________。 3、4位二进制数可表示______种代码,8位二进制数可表示_______种代码。 4、浮点数运算可由_______运算和_______来实现。 5、一条指令包括_______和_______两个部分,它们都是采用_______表示的。 6、主机对主存的主要要求是_______、_______和________、_______。 7、控制器的三个功能是决定_______,给出_______,处理_______。 二、选择题(每题2分,共30分) 1、已知:[X]补=,[Y]补=01001010,则[X-Y]补=______。 A. B. C. D.溢出 2、在用________表示的机器中,零的表示是唯一的。 A.原码 B.反码 C.补码 D.阶码 存储器的内容应与主存储器的相应单元的内容_______。

A.保持一致 B.可以不一致 C.无关 4、在总线中地址总线的功能是_______。 A.用于选择存储器单元 B.用于选择存储器单元和各个通用寄存器 C. 用于选择进行信息传输的设备 D.用于选择指定存储器单元和选择I/O设备接口电路的地址 5、现代计算机的处理对象是________。 A.二进制数 B. 文字 C. 十进制数 D.电压、电流 6、计算机中数据处理中心是________。 A.主机 B.运算器 C. 控制器 O系统 7、中断向量地址是_______。 A.子程序的入口地址 B.中断服务子程序的入口地址 C.中断服务子程序入口地址指示器 D. 外设程序入口地址 8、计算机存储器用来存放被运算的数据和程序,如果读出一个存储单元的内容后,该单元的内容________。 A.清零 B.保持不变 C.被取走 D. 不定 9、CPU中通过寄存器的位数决定________。 A.指令长度 B.数的精度 C.机器字长 D.主存储量 10、下列哪种指令不属于程序控制指令。 A. 无条件转移指令 B. 条件转移指令 C. 中断隐指令 D. 转移指令 11、计算机的中央处理器是指_________。 A. 主机 B. 运算器 C. CPU D. 控制器 12、计算机可以运行用各种高级程序语言设计编写的程序,但都必须经过变换成最终计算机能够辨别的,才能执行。 A.二进制机器语言 B.汇编语言 C.中间语言 D.操作系统语言

相关文档
相关文档 最新文档