文档视界 最新最全的文档下载
当前位置:文档视界 › 哈工大计算机组成原理试卷1及答案

哈工大计算机组成原理试卷1及答案

哈工大计算机组成原理试卷1及答案
哈工大计算机组成原理试卷1及答案

哈工大学年秋季学期

计算机组成原理试题

一、填空(12分)

1.某浮点数基值为2,阶符1位,阶码3位,数符1位,尾数7位,

阶码和尾数均用补码表示,尾数采用规格化形式,用十进制数写

出它所能表示的最大正数,非0最小正

数,最大负数,最

小负数。

2.变址寻址和基址寻址的区别是:在基址寻址中,基址寄存器提

供,指令提供;而在变址寻址中,变址

寄存器提供,指令提供。

3.影响流水线性能的因素主要反映在和

两个方面。

4.设机器数字长为16位(含1位符号位)。若1次移位需10ns,一

次加法需10ns,则补码除法需时间,补码BOOTH

算法最多需要时间。

5.CPU从主存取出一条指令并执行该指令的时间

叫,它通常包含若干个,而

后者又包含若干个。组成

多级时序系统。

二、名词解释(8分)

1.微程序控制

2.存储器带宽

3.RISC

4.中断隐指令及功能

三、简答(18分)

1. 完整的总线传输周期包括哪几个阶段?简要叙述每个阶段的工作。

2. 设主存容量为1MB,Cache容量为16KB,每字块有16个字,每字32位。

(1)若Cache采用直接相联映像,求出主存地址字段中各段的位数。

(2)若Cache采用四路组相联映像,求出主存地址字段中各段的位数。

3. 某机有五个中断源,按中断响应的优先顺序由高到低为L0,L1,L2,L3,L4,现要求优先顺序改为L3,L2,L4,L0,L1,写出各中断源的屏蔽字。

4. 某机主存容量为4M×16位,且存储字长等于指令字长,若该机的指令系统具备120种操作。操作码位数固定,且具有直接、间接、立即、相对四种寻址方式。

(1)画出一地址指令格式并指出各字段的作用;

(2)该指令直接寻址的最大范围;

(3)一次间址的寻址范围;

四、(6分)

设阶码取3位,尾数取6位(均不包括符号位),按浮点补码运算规则

计算 [2516

9?] + [24)1611

(-?]

五、画出DMA 方式接口电路的基本组成框图,并说明其工作过程(以输入设备为例)。(8分)

六、(10分)设CPU 共有16根地址线,8根数据线,并用MREQ 作访存控制信号,用W R /作读

写控制信号,现有下列存储芯片:

RAM :1K ×8位、2K ×4位、4K ×8位 ROM :2K ×8位、4K ×8位

以及74138译码器和各种门电路(自定),画出CPU 与存储器连接图。要求: (1)最大4K 地址空间为系统程序区,与其相邻2K 地址空间为用户

程序区。

(2)合理选用上述存储芯片,说明各选几片?写出每片存储芯片的地址范围。 (3)详细画出存储芯片的片选逻辑。

A i A 0CS

D n D 0

PD/Progr

i 0

CS

D n D 0

OE

WE

Y 76

Y 0

74138

七、假设CPU在中断周期用堆栈保存程序断点,且进栈时栈指针减一,出栈时栈指针加一。试写出中断返回指令(中断服务程序的最后一条指令),在取指阶段和执行阶段所需的全部微操作命令及节拍安排。若采用微程序控制,则还需要增加哪些微操作。(10分)

八、除了采用高速芯片外,从计算机的各个子系统的角度分析,指出6种以上提高整机速度的措施。(8分)

计算机组成原理试题答案

一、填空(12分)

1.127;1/512;-1/512-1/32768;-128。

2.基地址;形式地址;基地址;形式地址。

3.访存冲突;相关问题。

4.300ns;310ns。

5.指令周期;机器周期;节拍。

二、名词解释(8分)

1.微程序控制

答:采用与存储程序类似的方法来解决微操作命令序列的形成,将一条机器指令编写成一个微程序,每一个微程序包含若干条微指令,每一条指令包含一个或多个微操作命令。

2.存储器带宽

答:每秒从存储器进出信息的最大数量,单位可以用字/秒或字节/秒或位/秒来表示。

3.RISC

答:RISC是精简指令系统计算机,通过有限的指令条数简化处理器设计,已达到提高系统执行速度的目的。4.中断隐指令及功能

答:中断隐指令是在机器指令系统中没有的指令,它是CPU在中断周期内由硬件自动完成的一条指令,其功能包括保护程序断点、寻找中断服务程序的入口地址、关中断等功能。

三、简答(18分)

1.答:

总线在完成一次传输周期时,可分为四个阶段:

?申请分配阶段:由需要使用总线的主模块(或主设备)提出申请,经总线仲裁机构决定下一传输周期的总线使用权授于某一申请者;

?寻址阶段:取得了使用权的主模块,通过总线发出本次打算访问的从模块(或从设备)的存储地址或设备地址及有关命令,启动参与本次传输的从模块;

?传数阶段:主模块和从模块进行数据交换,数据由源模块发出经数据总线流入目的模块;

?结束阶段:主模块的有关信息均从系统总线上撤除,让出总线使用权。

2.答:

(1)若Cache采用直接相联映像:

字块中含64个字节,字块的位数为b=6。

Cache中含有256个字块,所以字块地址位数c=8。

主存容量为1M字节,总位数为20。

主存字块标记位数t=6。

(2)若Cache采用四路组相联映像,

字块中含64个字节,字块的位数为b=6。

每组含有四个字块,每组含256个字节。

Cache中含有64个字块,所以组地址位数q=6。

主存容量为1M字节,总位数为20。

4.答:

(1)指令字长16位,操作码为7位,寻址特征位2位,地址码7位; (2)-64~63; (3)216; (4)216.

四、(6分)

答:

被加数为 0,101;0.100100,[x]补 = 00,101; 00.100100 加数为 0,100;1.010100,[y]补 = 00,100; 11.010100 (1)对阶:

[△j ]补 = [j x ]补- [j y ]补 = 00,101 + 11,100 = 00,001

即△j = 1,则y 的尾数向右移一位,阶码相应加1,即

[y]’补= 00,101; 11.101010

② 求和

补x ][S '+补

y ][S '= 补x ][S '+[S y ]补 = 00.100100 + 11.101010

= 00.001110

即 [x+y]补 = 00,101; 00.001110 尾数出现“00.0”,需左规。 ③ 规格化

左规后得 [x+y]补 = 00,011; 00.111000 ∴[x +y]补 = 00,111; 00.111000

五、(8分)

答:DMA 方式接口电路的基本组成框图如下:

以数据输入为例,具体操作如下:

①从设备读入一个字到DMA 的数据缓冲寄存器BR 中,表示数据缓冲寄存器“满”(如果I/O 设备是面向字符的,则一次读入一个字节,组装成一个字);

②设备向DMA接口发请求(DREQ);

③DMA接口向CPU申请总线控制权(HRQ);

④CPU发回HLDA信号,表示允许将总线控制权交给DMA接口;

⑤将DMA主存地址寄存器中的主存地址送地址总线;

⑥通知设备已被授予一个DMA 周期(DACK),并为交换下一个字做准备;

⑦将DMA数据缓冲寄存器的内容送数据总线;

⑧命令存储器作写操作;

⑨修改主存地址和字计数值;

⑩判断数据块是否传送结束,若未结束,则继续传送;若己结束,(字计数器溢出),则向CPU申请程序中断,标志数据块传送结束。

六、(10分)

方法一:

答:地址空间描述如下:

ROM对应的空间:

1111 1111 1111 1111

1111 0000 0000 0000

RAM对应的空间:

1110 1111 1111 1111

1110 1000 0000 0000

选择ROM芯片为2K×8位的两片,RAM芯片为2K×4位的两片

ROM芯片1:

1111 1111 1111 1111

1111 1000 0000 0000

1110 1111 1111 1111

1110 1000 0000 0000

CPU与存储器连接图见下页:

方法二:

答:地址空间描述如下:

ROM对应的空间:

1111 1111 1111 1111

1111 0000 0000 0000

RAM对应的空间:

1110 1111 1111 1111

1110 1000 0000 0000

选择ROM芯片为4K×8位的一片,RAM芯片为2K×4位的两片

七、(10分)

答:

组合逻辑设计的微操作命令:

取指:

T0:PC → MAR

T1:M[MAR] → MDR, PC+1 → PC

T2:MDR → IR, OP[IR] →微操作形成部件

执行:

T0:SP → MAR

T1:M[MAR] → MDR

T2:MDR → PC, SP+1 → SP

微程序设计的微操作命令:

取指微程序:

T0:PC → MAR

T1:Ad[CMIR] → CMAR

T2:M[MAR] → MDR, PC+1 → PC

T3:Ad[CMIR] → CMAR

T4:MDR → IR, OP[IR] →微操作形成部件

T5:OP[IR] → CMAR

中断返回微程序:

T0:SP → MAR

T1:Ad[CMIR] → CMAR

T2:M[MAR] → MDR

T3:Ad[CMIR] → CMAR

T4:MDR → PC, SP+1 → SP

T5:Ad[CMIR] → CMAR

八、(8分)

答:

针对存储器,可以采用Cache-主存层次的设计和管理提高整机的速度;针对存储器,可以采用主存-辅存层次的设计和管理提高整机的速度;针对控制器,可以通过指令流水或超标量设计技术提高整机的速度;

针对控制器,可以通过超标量设计技术提高整机的速度;

针对运算器,可以对运算方法加以改进,如进位链、两位乘除法;

针对I/O系统,可以运用DMA技术来减少CPU对外设访问的干预。

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

2019年《计算机组成原理》试卷一

《计算机组成原理》试卷一 一.选择题(每小题1分,共20分) 1. 我国在______年研制成功了第一台电子数字计算机,第一台晶体管数字计算机于 ______年完成。b5E2RGbCAP A. 1946,1958 B. 1950,1968 C. 1958,1961 D. 1959,1965 2. 目前大多数集成电路生产中,所采用的基本材料为______。 A. 单晶硅 B. 非晶硅 C. 锑化钼 D. 硫化镉 3. 下列数中最大的数是______。 A. (100110001)2 B. (227)8 C. (98)16 D. (152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 用32位字长(其中1位符号位)表示定点小数时,所能表示的数值范围是______。 A. 0≤│N|≤1-2-32 B. 0≤│N|≤1-2-31p1EanqFDPw C. 0≤│N|≤1-2-30 D. 0≤│N|≤1-2-29DXDiTa9E3d 6. 定点运算器用来进行______。 A. 十进制数加法运算 B. 定点数运算 C. 浮点数运算 D. 即进行定点数运算也进行浮点数运算 7. 某SRAM芯片,其存储容量为64ⅹ16位,该芯片的地址线和数据线数目为______。 A. 64,16 B. 16,64 C. 64,8 D. 16,6RTCrpUDGiT 8. 闪速存储器称为______。 A. 光盘 B. 固态盘 C. 硬盘 D. 软盘 9. 二地址指令中,操作数的物理位置不可安排在______。 A. 栈顶和次栈顶 B. 两个主存单元 C. 一个主存和一个寄存器 D. 两个寄存器 10. 堆栈寻址方式中,设A为累加寄存器,SP为堆栈指示器,Msp为SP 指示器的栈顶单元,如果操作的动作是:(A)→Msp,(SP)-1→SP,那么出栈操作的动作为: A. (Msp)→A,(SP)+1→SP B. (SP)+1→SP,(Msp)→A C. (SP)-1→SP,(Msp)→A D. (Msp)→A,(SP)-1→SP 11. 中央处理器(CPU)是指______。 A. 运算器 B. 控制器 C. 运算器. 控制器和cache D. 运算器、控制器和主存储器 12. 指令寄存器的作用是______。 A. 保存当前指令的地址 B. 保存当前正在执行的指令 C. 保存下一条指令 D. 保存上一条指令 13. 下面描述的RISC机器基本概念中正确的表达是______。 A. RISC机器不一定是流水CPU B. RISC机器一定是流水CPU C. RISC机器有复杂的指令系统

计算机组成原理2003秋试卷

哈工大 2003 年 秋 季学期 题号 一 二 三 四 五 六 七 八 九 十 总分 分数 一、 填空题(24分) 1.DMA 的数据块传送可分为 、 和 阶段。 2.设 n = 16 (不包括符号位),机器完成一次加和移位各需100ns ,则原码一位乘最多需 ns,补码Booth 算法最多需 ns 。 3.设相对寻址的转移指令占2个字节,第一字节为操作码,第二字节是位移量(用补码表示),每当CPU 从存储器取出一个字节时,即自动完成(pc )+ 1→ pc 。设当前指令地址为3008H ,要求转移到300FH ,则该转移指令第二字节的内容应为 。若当前指令地址为300FH ,要求转移到3004H ,则该转移指令第二字节的内容为 。 4.设浮点数阶码为8位(含1位阶符),用移码表示,尾数为24位(含1位数符),用补码规格化表示,则对应其最大正数的机器数形式为 ,真值为 (十进制表示); 对应其绝对值最小负数的机器数形式为 ,真值为 (十进制表示)。 5.利用 指令进行输入输出操作的I/O 编址方式为统一编址。 班号 姓名 计算机组成原理 试 题

6.一个组相联映像的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共位,其中主存字块标记应为位,组地址应为位,Cache地址共位。 7.和组成存储系统的层次结构。 8.在总线集中式判优控制中,方式对故障很敏感, 方式速度最快。对于同步通信而言,影响其效率的主要因素是,它一般用于场合。 二、解释下列概念(20分) 1.机器周期和时钟周期 2.周期挪用和向量地址 3.中断隐指令及其功能 4.双重分组跳跃进位

计算机组成原理试题及答案 (1)#精选.

计算机组成原理试题及答案 一、填空(12分) 1.某浮点数基值为2,阶符1位,阶码3位,数符1位,尾数7位,阶码 和尾数均用补码表示,尾数采用规格化形式,用十进制数写出它所能表示的最大正数,非0最小正数,最大负数,最小负数。 2.变址寻址和基址寻址的区别是:在基址寻址中,基址寄存器提 供,指令提供;而在变址寻址中,变址寄存器提供,指令提供。 3.影响流水线性能的因素主要反映在和 两个方面。 4.设机器数字长为16位(含1位符号位)。若1次移位需10ns,一次加 法需10ns,则补码除法需时间,补码BOOTH算法最多需要时间。 5.CPU从主存取出一条指令并执行该指令的时间 叫,它通常包含若干个,而后者又包含若干个。组成多级时序系统。 二、名词解释(8分) 1.微程序控制 2.存储器带宽 3.RISC 4.中断隐指令及功能

三、简答(18分) 1. 完整的总线传输周期包括哪几个阶段?简要叙述每个阶段的工作。 2. 设主存容量为1MB,Cache容量为16KB,每字块有16个字,每字32位。 (1)若Cache采用直接相联映像,求出主存地址字段中各段的位数。 (2)若Cache采用四路组相联映像,求出主存地址字段中各段的位数。 3. 某机有五个中断源,按中断响应的优先顺序由高到低为L0,L1,L2,L3,L4,现要求优先顺序改为L3,L2,L4,L0,L1,写出各中断源的屏蔽字。

4. 某机主存容量为4M ×16位,且存储字长等于指令字长,若该机的指令系统具备120种操作。操作码位数固定,且具有直接、间接、立即、相对四种寻址方式。 (1)画出一地址指令格式并指出各字段的作用; (2)该指令直接寻址的最大范围; (3)一次间址的寻址范围; (4)相对寻址的寻址范围。 四、(6分) 设阶码取3位,尾数取6位(均不包括符号位),按浮点补码运算规则 计算 [25169?] + [24)16 11 (-?] 五、画出DMA 方式接口电路的基本组成框图,并说明其工作过程(以输入设备为例)。(8分)

哈工大机械原理考研-习题

1 例2-10 在例2-10图所示中,已知各构件的尺寸及机构的位置,各转动副处的摩擦圆如图中虚线圆,移动副及凸轮高副处的摩擦角为?,凸轮顺时针转动,作用在构件4上的工作阻力为Q 。试求该图示位置: 1. 各运动副的反力(各构件的重力和惯性力均忽略不计); 2. 需施加于凸轮1上的驱动力矩1M ; 3 . 机构在图示位置的机械效率η。 例2-10 解题要点: 考虑摩擦时进行机构力的分析,关键是确定运动副中总反力的方向。为了确定总反力的方向,应先分析各运动副元素之间的相对运动,并标出它们相对运动的方向;然后再进行各构件的受力分析,先从二力构件开始,在分析三力构件。 解:选取长度比例尺l μ(m/mm)作机构运动简图。 1. 确定各运动副中总反力的方向。如例2-10(a)图,根据机构的运动情况和力的平衡条件,先确定凸轮高副处的总反力12R 的方向,该力方向与接触点B 处的相对速度21B B v 的方向成090?+角。再由51R 应切于运动副A 处的摩擦圆,且对A 之矩的方向与1ω方向相反,同时与12R 组成一力偶与1M 平衡,由此定出51R 的方向;由于连杆3为二力构件,其在D ,E 两转动副受两力23R 及43R 应切于该两处摩擦圆,且大小相等方向相反并共线,可确定出23R 及43R 的作用线,也即已知32R 及34R 的方向线;总反力52R ,应切于运动副C 处的摩擦圆,且对C 之矩的方向应与25ω方向相反,同时构件2受到12R ,52R 及32R 三个力,且应汇交于一点,由此可确定出52R 的方向线;滑块4所受总反力54R 应与45v 的方向成090?+角,同时又受到34R ,54R 及Q 三个力,也应汇交于一点,由此可确定出54R 的方向线。 2. 求各运动副中总反力的大小。 分别取构件2,4为分离体,列出力平衡方程式 构件2 1232520R R R ++= 构件4 34540R R Q ++=

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理试卷A卷答案

华侨大学2012—2013学年第一学期期末考试 《计算机组成原理》考试试卷(A卷) 学院课程名称考试日期 姓名专业学号 一、选择题(本大题共15小题,每小题2分,共30分)) 1、完整的计算机系统应包括_______。 A.CPU和主存B.外部设备和主机 C.主机和实用程序D.配套的硬件系统和软件系统 2、有些计算机将一部分软件永恒地存于只读存储器中,称之为_______。 A.硬件B.软件C.固件D.辅助存储器 3、冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是_______。 A.指令操作码的译码结果B.指令和数据的寻址方式 C.指令周期的不同阶段D.指令和数据所在的存储单元 4、浮点数加减运算过程一般包括对阶、尾数运算、规格化、舍入和溢出判断等步骤。设浮点数的阶码和尾数都采用补码表示,且位数分别为5位和7位(均含2位符号位)。若有两个数X=27*29/32、Y=25*5/8,则浮点加法计算X+Y的最终结果是_____。 A.00111 1100010 B.00101 0001000 C.01000 0010001 D.发生溢出 5、下列关于RISC的叙述中,错误的是_______。 A.RISC普遍采用微程序控制器 B.RISC大多数指令在一个时钟周期内完成 C.RISC的内部通用寄存器数量相对CISC多 D.RISC的指令数、寻址方式和指令格式种类相对CISC少 6、在微机中,系统日期、硬盘参数与计算机配置信息等均存储在_______。 A.Cache B.ROM C.EPROM D.CMOS 7、相对于微程序控制器,硬布线控制器的特点是_______。 A.指令执行速度慢,指令功能的修改和扩展容易 B.指令执行速度慢,指令功能的修改和扩展困难 C.指令执行速度快,指令功能的修改和扩展容易 D.指令执行速度快,指令功能的修改和扩展困难 8、下列有关RAM和ROM的叙述中,正确的是_______。 ① RAM是易失性存储器,ROM是非易失性存储器 ② RAM和ROM都是采用随机存取方式进行信息访问 ③ RAM和ROM都可用做Cache ④ RAM和ROM都需要进行刷新 A.仅①②B.仅②③C.仅①②③D.仅②③④

哈工大机械原理大作业凸轮机构第四题

Harbin Institute of Technology 机械原理大作业二 课程名称:机械原理 设计题目:凸轮机构设计 姓名:李清蔚 学号:1140810304 班级:1408103 指导教师:林琳

一.设计题目 设计直动从动件盘形凸轮机构,其原始参数见表 1 表一:凸轮机构原始参数 升程(mm ) 升程 运动 角(o) 升程 运动 规律 升程 许用 压力 角(o) 回程 运动 角(o) 回程 运动 规律 回程 许用 压力 角(o) 远休 止角 (o) 近休 止角 (o) 40 90 等加 等减 速30 50 4-5-6- 7多 项式 60 100 120

二.凸轮推杆运动规律 (1)推程运动规律(等加速等减速运动) 推程F0=90° ①位移方程如下: ②速度方程如下: ③加速度方程如下: (2)回程运动规律(4-5-6-7多项式) 回程0 0240 190≤ ≤?,F0=90°,F s=100°,F0’=50°其中回程过程的位移方程,速度方程,加速度方程如下:

三.运动线图及凸轮s d ds -φ 线图 本题目采用Matlab 编程,写出凸轮每一段的运动方程,运用Matlab 模拟将凸轮的运动曲线以及凸轮形状表现出来。代码见报告的结尾。 1、程序流程框图 开始 输入凸轮推程回程的运动方程 输入凸轮基圆偏距等基本参数 输出ds,dv,da 图像 输出压力角、曲率半径图像 输出凸轮的构件形状 结束

2、运动规律ds图像如下: 速度规律dv图像如下: 加速度da规律如下图:

3.凸轮的基圆半径和偏距 以ds/dfψ-s图为基础,可分别作出三条限制线(推程许用压力角的切界限D t d t,回程许用压力角的限制线D t'd t',起始点压力角许用线B0d''),以这三条线可确定最小基圆半径及所对应的偏距e,在其下方选择一合适点,即可满足压力角的限制条件。 得图如下:得最小基圆对应的坐标位置O点坐标大约为(13,-50)经计算取偏距e=13mm,r0=51.67mm.

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

机械原理试卷自测含答案

一、选择题(每题2分,共20分) 1、铰链四杆机构的压力角是指在不计算摩擦情况下连杆作用于()上的力与该力作用点速度所夹的锐角。A.主动件B.从动件C.机架D.连架杆 2、一个K大于1的铰链四杆机构与K=1的对心曲柄滑块机构串联组合,该串联组合而成的机构的行程变化系数K()。 A.大于1B.小于1C.等于1D.等于2 3、平面四杆机构中,是否存在死点,取决于()是否与连杆共线。 A.主动件B.从动件C.机架D.摇杆 4、渐开线上某点的压力角是指该点所受压力的方向与该点()方向线之间所夹的锐角。 A.绝对速度 B.相对速度 C.滑动速度 D.牵连速度 5、渐开线标准齿轮是指m、α、h a*、c*均为标准值,且分度圆齿厚()齿槽宽的齿轮。 A.小于 B.大于 C.等于 D.小于且等于 6、机构具有确定运动的条件是()。 A.机构的自由度大于零B.机构的自由度大于零且自由度数等于原动件数 C.机构的自由度大于零且自由度数大于原动件数D.前面的答案都不对 7、一对渐开线标准直齿圆柱齿轮要正确啮合,它们的()必须相等。 A.直径B.宽度C.齿数D.模数 8、一渐开线标准斜齿圆柱齿轮与斜齿条传动,法面模数m n=8mm,法面压力角a n=20°,斜齿轮的齿数Z=20,分度圆上的螺旋角β=20°,则斜齿轮上的节圆直径等于()mm。 A.170.27 9、在曲柄摇杆机构中,若曲柄为主动件,且作等速转动时,其从动件摇杆作()。 A.往复等速运动B.往复变速运动C.往复变速摆动D.往复等速摆动 10、两个构件在多处接触构成移动副,各接触处两构件相对移动的方向()时,将引入虚约束。 A.相同、相平行B.不重叠C.相反D.交叉 11、在一个平面六杆机构中,相对瞬心的数目是() A.15B.10C.5D.1 12、滑块机构通过()演化为偏心轮机构。 A.改变构件相对尺寸B.改变构件形状C.改变运动副尺寸D.运动副元素的逆换 二、填空题(每题2分,共20分) 1、机构具有确定运动的条件是机构的自由度数等于。 2、在转子平衡问题中,偏心质量产生的惯性力可以用相对地表示。 3、机械系统的等效力学模型是具有,其上作用有的等效构件。 4、为了减少飞轮的质量和尺寸,应将飞轮安装在轴上。 5、输出功和输入功的比值,通常称为。 6、为减小凸轮机构的压力角,应该凸轮的基圆半径。 7、增大模数,齿轮传动的重合度;增多齿数,齿轮传动的重合度。 8、凸轮机构的运动规律中,如出现速度不连续,则机构将产生冲击;如出现加速度不连续,则机构将产生冲击; 9、具有一个自由度的周转轮系称为轮系,具有两个自由度的周转轮系称为 轮系。 10、移动副的自锁条件是;转动副的自锁条件是。 11、铰链四杆机构中传动角 为,传动效率最大。 12、平面低副具有个约束,个自由度。

计算机组成原理试卷4

计算机组成原理试题4 一、选择题(共20分,每题1分) 1.一条指令中包含的信息有。 A.操作码、控制码; B.操作码、向量地址; C.操作码、地址码。 2.在各种异步通信方式中,______速度最快。 A.全互锁; B.半互锁; C.不互锁。 3.一个512KB的存储器,其地址线和数据线的总和是______。 A.17; B.19; C.27。 4.在下列因素中,与Cache的命中率无关的是。) A.Cache块的大小; B.Cache的容量; C.主存的存取时间。 5.在计数器定时查询方式下,若计数从0开始,则______。 A.设备号小的优先级高; B.每个设备使用总线的机会相等; C.设备号大的优先级高。 6.Cache的地址映象中,若主存中的任一块均可映射到Cache内的任一块的位置上,称作。 A.直接映象; B.全相联映象; C.组相联映象。 7.中断服务程序的最后一条指令是______。 A.转移指令; B.出栈指令; C.中断返回指令。 8.微指令操作控制字段的每一位代表一个控制信号,这种微程序的控制(编码)方式是______。 A.字段直接编码; B.直接编码; C.混合编码。 9.在取指令操作之后,程序计数器中存放的是______。 A.当前指令的地址; B.程序中指令的数量; C.下一条指令的地址。 10.以下叙述中______是正确的。 A.RISC机一定采用流水技术;

B.采用流水技术的机器一定是RISC机; C.CISC机一定不采用流水技术。 11.在一地址格式的指令中,下列是正确的。 A.仅有一个操作数,其地址由指令的地址码提供; B.可能有一个操作数,也可能有两个操作数; C.一定有两个操作数,另一个是隐含的。 12.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同; B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同; D.阶符与数符不同。 13.I/O采用不统一编址时,进行输入输出操作的指令是______。 A.控制指令; B.访存指令; C.输入输出指令。 14.设机器字长为64位,存储容量为128MB,若按字编址,它的寻址范围是。 A.16MB; B.16M; C.32M。 15.寻址便于处理数组问题。 A.间接寻址; B.变址寻址; C.相对寻址。 16.超标量技术是______。 A.缩短原来流水线的处理器周期; B.在每个时钟周期内同时并发多条指令; C.把多条能并行操作的指令组合成一条具有多个操作码字段的指令。 17.以下叙述中______是错误的。 A.取指令操作是控制器固有的功能,不需要在操作码控制下完成; B.所有指令的取指令操作都是相同的; C.在指令长度相同的情况下,所有指令的取指操作都是相同的。 18.I/O与主机交换信息的方式中,中断方式的特点是______。 A.CPU与设备串行工作,传送与主程序串行工作; B.CPU与设备并行工作,传送与主程序串行工作; C.CPU与设备并行工作,传送与主程序并行工作。 19.设寄存器内容为11111111,若它等于+127,则为______。 A.原码; B.补码; C.反码; D.移码。 20.设机器数采用补码形式(含l位符号位),若寄存器内容为9BH,则对应的十进制数为______。 A.-27; B.-97;

计算机组成原理试卷

考试科目名称 计算机组织与系统结构 (A卷)2008——2009学年第 2 学期 教师 袁春风/窦万春考试方式:闭卷系(专业) 计算机科学与技术年级 2007班级 学号 姓名 成绩 题号一二三四五六 分数 一、填空题(本大题共10小题,每空1分,共20分) 得分 1. 在计算机系统层次结构中,指令集体系结构(或ISA,或指令系统)处于硬件和软件交界面, 硬件所有功能由它集中体现,软件通过它在硬件上执行。 2. 任何高级语言源程序或汇编语言源程序都必须翻译成机器代码才能在硬件上执行。完成这种翻译转 换任务的程序有汇编程序、解释程序(或解释器)和编译程序(或编译器)三类。 3. 响应时间和吞吐率(或带宽,或数据传输率)是衡量一个计算机系统好坏的两个基本性 能。不同应用场合,用户关心的性能不同。例如,对于银行、证券等事务处理系统来说,事务处理用户主要关心的是响应时间。 4. 一个变量在计算机内部用0或1编码表示的数被称为机器数,变量真正的值被称为真值。 5. 假定某变量x存放在寄存器R1中为1111 1111 1111 1111 1111 1011 1100 0000B,则变量x在屏 幕上用16进制显示为0x FFFFFBC0 。若x的类型为int,则x的值为 -1088; 对R1进行算术左移4位后的值在屏幕上显示为0x FFFFBC00 ;对R1算术右移4位后为0x FFFFFFBC ;对R1逻辑右移4位后为0x 0FFFFFBC 。 6. 与硬连线路控制器相比,微程序控制器的缺点是速度慢。 7. 假定某计算机采用小端方式,按字节编址。若某变量x的主存地址为00001000H,其数据类型为float, 已知x=-1.5,则主存地址00001000H和00001003H中存放的内容分别是00 H和BF H。 8. 可以用一个特殊的Cache来记录最近使用页的页表项,因为页表项主要用于地址转换,所以把这种 特殊的Cache称为转换后援缓冲器,简称TLB (或快表)。 9. 当处理器发现有未被屏蔽的中断请求发生时,通常通过执行一个“中断隐指令”进行中断响应。在 中断响应过程中,完成三个任务,它们是关中断(或清除中断允许标志)、保存断点(及机器状态)、将中断服务程序首地址送PC。 10. 现代计算机的主存大多采用字节编址方式。所以,假定一个分页虚拟存储器系统的虚拟地址位数为 48位,则虚拟(逻辑)地址空间大小应为256TB。若页面大小为512KB,则一个程序最多可以有512M (或229)个页面。

哈工大机械原理大作业_凸轮机构设计(第3题)

机械原理大作业二 课程名称:机械原理 设计题目:凸轮设计 院系:机电学院 班级:1208103 完成者:xxxxxxx 学号:11208103xx 指导教师:林琳 设计时间:2014.5.2

工业大学 凸轮设计 、设计题目 如图所示直动从动件盘形凸轮,其原始参数见表,据此设计该凸轮 二、凸轮推杆升程、回程运动方程及其线图 1 、凸轮推杆升程运动方程(0 5) 6 升程采用正弦加速度运动规律,故将已知条件h 50mm ,05带入正弦 6 加速度运动规律的升程段方程式中得: 6 1 12 S 50 sin ; 5 2 5

cos 5 144 12 12 a sin 5 2、凸轮推杆推程远休止角运动方程( 5 ) 6 s h 50mm ; v a 0 ; 3、凸轮推杆回程运动方程( 14 ) 9 回程采用余弦加速度运动规律,故将已知条件 h 50mm , '0 5 9 6 带入余弦加速度运动规律的回程段方程式中得: 14 4、凸轮推杆回程近休止角运动方程( 14 2 ) 9 s v a 0; 5、凸轮推杆位移、速度、加速度线图 根据以上所列的运动方程,利用 matlab 绘制出位移、速度、加速度线图 ①位移线图 编程如下: %用 t 代替转角 t=0:0.01:5*pi/6; s=50*((6*t)/(5*pi)-1/(2*pi)*sin(12*t/5)); hold on plot(t,s); t=5*pi/6:0.01:pi; s=50; hold on plot(t,s); t=pi:0.01:14*pi/9; s=25*(1+cos(9*(t-pi)/5)); hold on plot(t,s); t=14*pi/9:0.001:2*pi; s=0; 60 12 cos 9 ( 5 ); v 45 9 1 sin a -81 29 1 cos 25

计算机组成原理试卷答案及解析

华东交通大学2014—2015学年第二学期考试卷 试卷编号: ( A )卷 计算机组成原理 课程 课程类别:必√、限、任 闭卷( )、开卷(范围)(√):仅限上课教材考试日期:2015-6-25 考生注意事项:1、本试卷共 页,总分100分,考试时间120分钟。 2、考试结束后,考生不得将试卷、答题纸和草稿纸带出考场。 一、填空题(每空1分,共20分)。 1、外部设备包括_______、_______和_______,又叫外围设备。 2、系统软件主要包括_______、_______和________。 3、4位二进制数可表示______种代码,8位二进制数可表示_______种代码。 4、浮点数运算可由_______运算和_______来实现。 5、一条指令包括_______和_______两个部分,它们都是采用_______表示的。 6、主机对主存的主要要求是_______、_______和________、_______。 7、控制器的三个功能是决定_______,给出_______,处理_______。 二、选择题(每题2分,共30分) 1、已知:[X]补=,[Y]补=01001010,则[X-Y]补=______。 A. B. C. D.溢出 2、在用________表示的机器中,零的表示是唯一的。 A.原码 B.反码 C.补码 D.阶码 存储器的内容应与主存储器的相应单元的内容_______。

A.保持一致 B.可以不一致 C.无关 4、在总线中地址总线的功能是_______。 A.用于选择存储器单元 B.用于选择存储器单元和各个通用寄存器 C. 用于选择进行信息传输的设备 D.用于选择指定存储器单元和选择I/O设备接口电路的地址 5、现代计算机的处理对象是________。 A.二进制数 B. 文字 C. 十进制数 D.电压、电流 6、计算机中数据处理中心是________。 A.主机 B.运算器 C. 控制器 O系统 7、中断向量地址是_______。 A.子程序的入口地址 B.中断服务子程序的入口地址 C.中断服务子程序入口地址指示器 D. 外设程序入口地址 8、计算机存储器用来存放被运算的数据和程序,如果读出一个存储单元的内容后,该单元的内容________。 A.清零 B.保持不变 C.被取走 D. 不定 9、CPU中通过寄存器的位数决定________。 A.指令长度 B.数的精度 C.机器字长 D.主存储量 10、下列哪种指令不属于程序控制指令。 A. 无条件转移指令 B. 条件转移指令 C. 中断隐指令 D. 转移指令 11、计算机的中央处理器是指_________。 A. 主机 B. 运算器 C. CPU D. 控制器 12、计算机可以运行用各种高级程序语言设计编写的程序,但都必须经过变换成最终计算机能够辨别的,才能执行。 A.二进制机器语言 B.汇编语言 C.中间语言 D.操作系统语言

相关文档
相关文档 最新文档