文档视界 最新最全的文档下载
当前位置:文档视界 › 基本运算器实验易错点

基本运算器实验易错点

基本运算器实验易错点

基本运算器实验涉及到数电中的加法器、减法器、乘法器、除法

器等模块的设计和组合,同时还涉及到数码管、时钟、开关和按键等

组件的使用。在实验中,由于电路连接不良、时钟频率不够、开关或

按键使用不当等原因,可能会出现一些常见的错误和问题,需要及时

排查和解决。以下是基本运算器实验易错点的总结:

1.电路连接不良:由于电路板上的连接导线或插头没有插好,或

者元件之间没有连接得很紧密,导致电路不能正常工作。这种情况通

常会表现为数码管没有显示或者显示不全,按键无法响应等问题。

2.时钟频率不够:时钟是基本运算器实验中最重要的组件之一,

必须保证其频率足够高才能保证运算器的正常工作。如果时钟频率太低,可能导致计算结果不准确、计算速度过慢等问题。

3.开关或按键操作不当:基本运算器实验中需要使用许多开关和

按键来控制模块的运行,如果操作不当可能会导致一些错误。例如,

误按了清零键或其他无关键,可能会导致计算结果出错或显示不正常。

4.模块设计不完善:基本运算器实验中涉及到加法器、减法器、乘法器、除法器等复杂模块的设计,如果模块设计得不够完善,可能会导致计算结果不准确或显示不正常等问题。

5.电源电压不稳定:基本运算器实验需要使用外部电源供电,如果电源电压不稳定可能会导致模块无法正常工作,或者导致模块元件损坏。

6.其他原因:基本运算器实验中还有很多其他可能的错误原因,例如元件损坏、元件选择不当等。这些问题需要具体情况具体分析,及时排查并解决。

以上是基本运算器实验易错点的总结,学生在进行实验时需要注意这些问题,并尽量避免出现这些错误。同时,应该认真对待实验,保持良好的态度和专注的态度,以确保实验的成功。

计算机组成原理运算器实验报告

一.实验目的及要求 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二.实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时接受来自 A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号 S3…S0和CN来决定,任何时候,多

路选择开关只选择三部件中一个部件的结果作为 ALU的输出。如果是影响进位的运算,还将置进位标志 FC,在运算结果输出前,置 ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个 4X4 的矩阵(系统中是一个 8X8 的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0 。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左 3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。 运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供(时序单元的介绍见附录二),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示(以A0为例,其它相同)。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。

计算机组成原理运算器实验

实验一运算器实验 计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU中的运算器来完成,运算器也称作算术逻辑部件ALU。本章首先安排一个基本的运算器实验,了解运算器的基本结构,然后再设计一个加法器和一个乘法器。 一、实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 本实验的原理如图1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-2所示。图中显示的是一个4×4的矩阵(系统中是一个8×8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

运算器实验总结

运算器实验总结 一、引言 在现代科技高度发展的今天,计算机已经成为了人们生活和工作中不可或缺的一部分。而计算机的核心部件之一就是运算器。运算器作为计算机的“大脑”,起着重要的计算和控制作用。本文将对运算器实验进行总结,包括实验目的、实验过程和实验结果等内容。 二、实验目的 运算器实验的目的是通过设计和实现一个简单的运算器电路,加深对计算机运算原理的理解,以及培养学生的动手能力和解决问题的能力。 三、实验过程 运算器实验分为设计和搭建电路两个步骤。 1. 设计 在实验开始之前,我们需要根据运算器的功能需求,设计出运算器电路的逻辑结构。运算器一般包括算术逻辑单元(ALU)和控制单元(CU)等组成部分。我们可以根据实验要求,设计出适合的运算器结构。 2. 搭建电路 在设计完成后,就可以开始搭建运算器电路了。首先,我们需要根据设计图纸,准备所需的电子元件,如逻辑门、开关和触发器等。然

后,按照电路图的连接顺序,一步一步地将电子元件连接起来,形成 一个完整的运算器电路。 3. 调试与测试 搭建完成后,需要经过调试和测试来确保电路的正常工作。我们可 以通过给电路输入不同的二进制数值,观察电路输出是否符合预期结 果来判断电路的正确性。如果出现问题,可以逐步检查电路连接是否 正确,是否存在元件损坏等情况。 四、实验结果 经过设计、搭建和调试测试,最终我们得到了一个正常工作的运算 器电路。在测试过程中,我们对电路进行了多组输入输出的验证,结 果表明电路正常。通过我们的运算器,可以完成四则运算、逻辑运算 等基本运算需求。 五、实验启示 通过这次运算器实验,我们收获了很多。 首先是对计算机运算原理的深入理解。在设计和搭建电路的过程中,我们不仅需要了解计算机的基本运算原理,还需要将理论知识实际应 用到电路设计和调试中。实践过程不仅加深了我们对计算机原理的理解,还帮助我们发现了一些之前未曾察觉到的问题和异常现象。 其次是培养了动手能力和解决问题的能力。在实验过程中,我们需 要亲自动手进行电路的搭建和调试。这些操作不仅需要耐心和细心,

《计算机组成原理》运算器实验报告

一、实验名称:运算器实验 二、实验目的: 1、掌握运算器的组成及工作原理; 2、熟悉ALU执行算术运算与逻辑运算的具体实现过程; 3、掌握ALU 算术与逻辑运算的控制方法 4、了解多片ALU的组合扩展功能和进位链的实现 三、实验内容: 1、两16位操作数的算术运算及进位影响 2、两16位操作数的逻辑运算及进位影响 3、不同控制组合下的算术与逻辑运算的输出结果 四、实验设备: EL-JY-II型计算机原理实验系统,排线若干 五、实验步骤: 1、在系统断电的情况下,按实验指导书接线图完成本次实验的接线; 2、系统上电,拨动清零开关,系统清零; 3、从数据输入开关电路输入第一个16位数据,开放数据总线,使数据进入暂存寄存器1; 4、从数据输入开关电路输入第二个16位数据,开放数据总线,使数据进入暂存寄存器2; 5、关闭数据输入开关,开启ALU输出,检查两个16位数据正确与否,有错通过步骤3改正; 6、设置方式控制M=0,拨动功能选择端S3,S2,S1,S0 进行算术运算,记录ALU输出结果; 7、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 8、设置方式控制M=1,拨动功能选择端S3,S2,S1,S0 进行逻辑运算,记录ALU输出结果; 9、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 10、对实验结果进行检查,如有错误,找出原因,重做实验,直到正确为止。

六、实验结果 整个实验记录的实验结果如下: 表一:ALU 算术与逻辑运算实验结果

七、分析讨论 M是算术与逻辑运算的选择端决定了ALU 进行那类运算,S0, S1,S2,S3是功能选择控制端,决定是做加、减、逻辑与、逻辑或、逻辑异或等运算,表一的实验结果与手工验算完全一致,从而验正了整个ALU的算术/逻辑运算功能和进位处理功能。灵活运用S0,S1,S2,S3的不同组合可以实现许多其它功能,如本ALU虽然没有求补功能但可以通过取反加1完成求补运算,向左移位的实现可采用自身相加(A+A=2A),此外选择M=1,S3S2S1S0=1111或S3S2S1S0=1010将操作数A或B可以直接送到ALU的输出,这样可以直接验证输入数据是否正确。本次实验也涉及到了数据总线,总线有三个性质:公共性、驱动性和三态性,在数据输入时利用控制开关来控制三态,有效时输出数据,无效时数据输入缓冲器呈高阻(相当断开)与数据总线隔离;公共性是总线的最根本的属性,所有传输的数据都通过共享数据线分时完成的,何时完成靠控制信号来区分,如输入的两个16位数据就是通过数据总线分两次(分时)传送的。 八、心得体会 这是计算机组成原理的第一个实验,虽然还有点陌生,但基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的。

074-王楠-计组实验一(基本运算器实验)

延安大学计算机学院实验报告专用纸

实验电路中的时序信号均已连至“W/RUNIT"单元中的相应时序信号引出端,因此,需要将“W/R UNIT"单元中的T4接至“STATE UNIT"单元中的微动开关KK2的输出端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU-B、SW-B各电平控制信号则使用“SWITCHUNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B为低电平有效,LDDR1、LDDR2为高电平有效。对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2工作暂存器打入数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结果至总线时也应保证数据输入三态门是在关闭状态。 【4】实验步骤 1.连接实验电路并检查无误。图中将用户需要连接的信号线用小圆圈标明(其它实验相同,不再说明)。 2. KK2设置为单拍,KK1和KK3为运行。 3.开电源开关。 4.用输入开关向暂存器DR1置数。 ①拨动输入开关形成二进制数01100101 (或其它数值)。(数据显示灯亮为0,灭为1)。 ②使SWITCH UNIT单元中的开关SW-B=0 (打开数据输入三态门)、ALU-B=1 (关闭ALU输出三态门)、LDDR1=1、LDDR2=0。 ③按动微动开关KK2,则将二进制数01100101置入DR1中。 5. 用输入开关向暂存器DR2置数。 ①拨动输入开关形成二进制数10100111 (或其它数值)。 ②SW-B=0、ALU-B=1 保持不变,改变LDDR1、LDDR2,使LDDR1=0、LDDR2=1。 ③按动微动开关KK2,则将二进制数10100111置入DR2中。 6.该变运算器的功能设置观察输出。并将结果填入表中。

《计算机组成原理》运算器实验报告

一. 实验目的及要求 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二. 实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时接受来自 A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号 S3…S0和CN来决定,任何时候,多

路选择开关只选择三部件中一个部件的结果作为 ALU的输出。如果是影响进位的运算,还将置进位标志 FC,在运算结果输出前,置 ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个 4X4 的矩阵(系统中是一个 8X8 的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0 。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左 3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。 运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供(时序单元的介绍见附录二),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示(以A0为例,其它相同)。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。

计算机组成原理实验-运算器实验报告

1.逻辑运算 (1)S3S2S1S0=0000时,F=A,例如:当A=00010101,B=01101001时 F=00010101; 当A=01011000时,B=01011110时 F=01011000 (2)S3S2S1S0=0001时,F=B,例如: 当A=10110111,B=01110010时 F=01110010 当A=11100011,B=01010110 F=01010110 (3)S3S2S1S0=0010时,F=AB。例如:当A=10110010,B=10010111时 F=10010010 当A=11000011,B=00111100时 F=00000000 (4)S3S2S1S0=0011时,F=A+B。例如:当A=00110101,B=11001010时, F=11111111 当A=01011011,B=11000101时 F=11011111 (5)S3S2S1S0=0100时,F=/A。例如:

当A=00110100,B=11010010时, F=11001011 当A=01001111,B=10100101时 F=10110000 2.移位运算 (1)S3S2S1S0=0101时,F=A逻辑右移B(取低三位)位。例如: 当A=01000101,B=00000010时, F=00010001 当A=01011011,B=00000101时 F=00000010 (2)S3S2S1S0=0110时,F=A逻辑左移B(取低三位)位。例如: 当A=00110101,B=00000011时, F=10101000 当A=01101011,B=00000001时 F=11010110 (3)S3S2S1S0=0111时,F=A算术右移B位。例如:当A=01110101,B=00000010时, F=00011101 当A=01000111,B=00000101时

运算器实验总结

运算器实验总结 引言 本文旨在总结并分析我们小组进行的运算器实验。该实验是计算机组成原理课 程中的一项重要实践内容,通过设计和实现一个简单的运算器,我们加深了对计算机基本运算原理的理解,并提升了实际操作的能力。 实验目标 本次实验的主要目标是设计一个基本的运算器,能够支持常见的算术运算,包 括加法、减法、乘法和除法。实验要求我们使用一个预定义的指令集,并利用指令集中的指令完成相应的运算操作。实验的重点在于理解运算器设计的原理和实现逻辑。 实验步骤 1. 指令集设计 首先,我们需要设计一个符合实验要求的指令集。根据要求,指令集应包括加 法指令、减法指令、乘法指令和除法指令,以及相应的存储器读写指令和跳转指令。我们经过讨论和研究,综合考虑了指令的使用频率和实现难度,最终确定了一个简洁而实用的指令集。 2. 运算器设计 在指令集确定后,我们开始设计运算器的硬件电路。运算器主要由运算单元、 存储器和控制单元组成。我们根据指令集的需求,设计了相应的运算单元和存储器,并利用逻辑门和触发器等基本电子元件实现了运算器的硬件电路。 3. 运算器实现 在硬件电路设计完成后,我们将其实现为实际的运算器。这一步骤需要进行电 路连接和元件焊接等操作。经过小组成员的共同努力,我们最终成功地将硬件电路编码为实际的运算器。 4. 运算器测试 完成运算器的实现后,我们对其进行了全面的测试。测试过程包括输入不同的 算术表达式和指令,验证运算器的运算正确性和稳定性。我们还进行了性能测试,评估运算器的运算速度和资源使用情况。

实验结果 经过严格的测试和评估,我们的运算器设计和实现达到了预期的效果。在正确性方面,我们进行了大量的功能测试,发现运算器能够正确地执行各种算术运算。在性能方面,我们进行了多轮性能测试,发现运算器的运算速度能够满足我们的需求,并且资源使用情况较为合理。 总结与体会 通过本次运算器实验,我们深入理解了计算机的运算原理和实现逻辑。我们了解了指令集的设计和运算器的硬件电路实现过程,并通过实际操作提升了我们的实践能力。同时,我们也发现了一些不足之处,例如在设计过程中的某些决策可能不够合理,导致后期调试的困难。这些经验和教训对我们今后的学习和工作都有很大的帮助。 在今后的学习中,我们将继续加强对计算机组成原理和运算器设计的学习和理解。我们会进一步探索运算器的优化和扩展,提高其性能和功能,以应对更为复杂的计算任务。我们相信,通过不断地实践和思考,我们能够在计算机领域取得更多的成果。 参考文献 暂无 注:本文档为人工智能助手生成,仅供参考。

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

简易计算器设计实验报告

简易计算器设计实验报告 一.设计任务及要求 1.1实验任务: 根据计算器的原理设计一个具有加减乘除功能的简易计算器。如:5+3*4/8=4。 实验基本要求: (1)实现最大输入两位十进制数字的四则运算(加减乘除)。 (2)能够实现多次连算(无优先级,从左到右计算结果)。 如:12+34*56-78/90+9=36 (3)最大长度以数码管最大个数为限,溢出报警。 二.实验设计方案 (1)用QuartusII的原理图输入来完成系统的顶层设计。 (2)用VHDL编写以及直接拖模块来各功能模块。 (3)通过2个脉冲分别实现个位数和十位数的输入。 (4)通过选择每次的输出数值,将输出值反馈到运算输入端 (4)通过除法运算实现十六进制到十进制的转换输出。 其具体实现流程图如下:

三系统硬件设计 FPGA: EP2C5T144C8目标板及相应外围硬件电路。(从略) 四系统软件设计 1.数据输入模块 原理:用VHDL创建模块,通过两个脉冲分别对两个数码管进行输入控制,再通过相应运算模块将两个独立数据转化成两位十进制数字。 2.运算模块 原理:用VHDL创建模块,四种运算同步运行,通过按键加、减、乘、除选择输出对应的计算结果,当按键等号来时,将所得结果反馈给运算模块输入端。具体实现代码见附录二。 3.输出模块 原理:用VHDL创建模块,通过按键等号来控制显示运算对象还是运算结果,当等号按下时,输出计算结果,否则显示当前输入的数据,并且通过除法模块将十六进制转化为十进制。当输出结果溢出是LED0亮,同时数码管显示都为零。部分实现见附录二。 五实验调试 输入数据12,再按加法键,输入第二个数字25,按等号键,数码管显示37;按灭加法、等号键,输入第二个数据2,依次按等号键,减法键,数码管显示35;同上,按灭减法键、等号键,输入第三个数据7,依次按等号键,除法键,数码管显示5;按灭除法键、等号键,输入第四个数据99,依次按等号键,乘法键,数码管显示495,按灭乘法键、等号键,当前显示为99,依次按等号键、乘法键,数码管显示49005,同上进行若干次之后,结果溢出,LED0亮,同时数码管显示都为零。当输出为负数时,LED0灯变亮,同时数码管显示都为零。六实验结论 本实验基本实现了计算器的加减乘法运算功能,但是存在一个突出的缺陷,就是当输出结果时,必须先按等号键导通数据反馈,再按运算键选择输出结果。这与实际应用的计算器存在很大的差距。但是,本设计可以通过等号键实现运算对象和运算结果之间的切换。

「计算机组成原理运算器实验报告」

计算机组成原理实验一 运算器实验 一、实验目的: 1、掌握简单运算器的数据传输方式。 2、验证运算功能发生器(74LS181)及进位控制的组合功能。 二、实验要求: 完成不带进位及带进位算数运算实验、逻辑运算实验,了解算数逻辑运算单元的运用。 三、实验原理: 74LS181是4位算术逻辑运算器,用两个74LS181并联可以

实现8位运算,为了实现双操作的运算,AL U的输入端分别由两个锁存器DR1,D R2锁存数据。数据显示灯和数据总线相连接,用来显示数据总线上的内容。由于实验电路中的时序信号均已连接至W/RUIT 相应的时序信号引出端,只要微动开关,即可获得实验所需的单脉冲。 四、 实验连接: 1.八位运算器控制信号连接: S3,S2,S 1,S0,M,/CN,LDDR1,L DDR2,LDCZ Y,/S W-B ,/AL U-B,Cn+4 C n+4I 2.完成连接并检查无误后接通电源。 五、实验仪器状态设定: 在闪动的“P.”状态下按动“增址”命令键,使L ED 显示器自左向右第一位显示提示符“H ”,表示本装置已进入手动单元实验状态。 五、 实验项目: (一)算数运算实验 拨动二进制数据开关向DR 1和D R2寄存器置数(灯亮为1,灯灭为0)。 步骤如下: [ CBA=001] [L DDR1=1] [LDDR 1=0] [L DDR2=0] [L DDR2=1]

[“按STEP”] [“按STEP”] 然后检查数据: 1.关闭数据输入三态门(CBA=000) 2.打开ALU输出三态门(CBA=010) 3.当置S3,S2,S1,S0,M为11111时,总线指示灯显示DR1中的数 4.当置S3,S2,S1,S0,M为10101时,总线指示灯显示DR2中的数 算数运算(不带进位)实验: 置CBA=010,S3,S2,S1,S0,M,/CN为100101,LDCZY=0,则数据总线指示灯显示00001100(0CH) (二)进位控制实验 (1)进位标志清零 CBA=000 置S3,S2,S1,S0,M为00000置/CN为0,LDCZY为1 按STEP (2)向DR1和DR2置数(同上) (3)验证进位运算及进位锁存功能,使/CN=1,LDCZY=1,来进行算数运算。 给定DR1=65,DR2=A7,改变运算器功能(逻辑或非运算方法见逻辑运算实验),得到运算器输出记录如下:

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一) 计算机组成原理运算器实验报告 实验目的 •理解计算机组成原理中运算器的工作原理 •学习运算器的设计和实现方法 •掌握运算器的性能指标和优化技巧 实验背景 计算机组成原理是计算机科学与技术专业中的重要课程之一,通 过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的 运算器。 实验步骤 1.确定运算器的功能需求 –确定需要支持的算术运算和逻辑运算 –设计运算器的输入和输出接口 2.实现运算器的逻辑电路

–根据功能需求,设计并实现运算器的逻辑电路 –确保逻辑电路的正确性和稳定性 3.验证运算器的功能和性能 –编写测试用例,对运算器的功能进行验证 –测量运算器的性能指标,如运算速度和功耗 4.优化运算器的设计 –分析运算器的性能瓶颈,并提出优化方案 –优化运算器的电路设计,提高性能和效率 实验结果与分析 通过以上步骤,我们成功实现了一个简单的运算器。经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。经过优化后,运算器的速度提高了20%,功耗降低了10%。 实验总结 通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。 参考文献 •[1] 《计算机组成原理》

•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014. 实验目的补充 •掌握运算器的工作原理和组成要素 •学习如何设计和实现运算器的各个模块 •理解运算器在计算机系统中的重要性和作用 实验背景补充 计算机组成原理是计算机科学中的基础课程,它研究计算机硬件 和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对 计算机的性能和功能起着重要作用。 实验步骤补充 1.确定运算器的功能需求 –确定需要支持的算术运算,如加法、减法、乘法、除法等 –确定需要支持的逻辑运算,如与、或、非、异或等 –设计运算器的输入和输出接口,如数据输入和结果输出的方式 2.实现运算器的逻辑电路 –根据功能需求,设计并实现运算器的逻辑电路

运算器实验实验报告

八位运算器实验分析 1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。 在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。 2,八位运算器的实验原理框图 3,VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exp_r_alu is port( clk: in std_logic; sw_bus,r4_bus,r5_bus,alu_bus:in std_logic; lddr1,lddr2,ldr4,ldr5: in std_logic; m,cn: in std_logic; s: in std_logic_vector(3 downto 0); k: in std_logic_vector(7 downto 0); d: inout std_logic_vector(7 downto 0)); end exp_r_alu ; architecture rtl of exp_r_alu is signal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0); signal sel:std_logic_vector(5 downto 0);

基本运算器实验

基本运算器实验 实验2基本运算器实验一实验目的(1)了解运算器的组成结构。 (2)掌握运算器的工作原理。 二实验设备PC机一台,TD-CMA实验系统一套。 三实验原理实验原理图A(273)B(273)IN[7..0]显示A显示 BS[3..0]CnLDAT4LDBT4三选一开关三态控制(245)D[7..0]ALU_B移 位运算部件逻辑运算部件算术运算部件CY1CLR译码器LOGICSHIFTADD_SUBPRNCLRQVCCT4FCF7F0FZCLRPRNCLRDQVCCFCCnFCDC Y2T4CY2CNSHIFTADD_SUB上图为本次实验的原理图。如图所示的运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD 中。 ALU的输入和输出通过三态门74LS245连到CPU内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡是标注有马蹄形标记,表示这两根排针之间是连通的。图中除T4和CLR,其余信号均来自于ALU单元的排线座,实验箱中所有单元的T1、T2、

T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON 单元的CLR 按钮。T4由时序单元的TS4提供(时序单元的介绍见附录二),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A和B的数据以及进位标志FC,零标志FZ和数据总线D7...D0能在LED灯上实时显示。亮表示1,灭表示0.LDA和LDB用于控制算逻部件的输入数据。满足LDA=1且T4传来脉冲信号时,数据将会传入暂存器A,同理当LEB=1且T4传来脉冲信号时,数据传入暂存器B。VCCA01K0A0显示原理图逻辑运算部件由逻辑门构成,可将两个数据按位进行与,或,异或,以及将一个数据的各位求非。有的运算器还能进行二值代码的16种逻辑操作。 移位运算部件采用桶形移位器,一般采用交叉开关矩阵来实现,每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能。如下图右3右2右1不移位左1左2左 3in[3]in[2]in[1]in[0]out[0]out[1]out[2]out[3]交叉开关桶形移位器原理图运算器的逻辑功能表下图表1-1-1: 运算类型S3S2S1S0CN功能逻辑运算0000XF=A(直通)0001XF=B(直通)0010XF=AB(FZ)0011XF=A+B(FZ)0100XF=/A(FZ)移位运算0101XF=A不带进位循环右移B(取低3位)位(FZ)01100F=A逻辑右移一位(FZ)1F=A带进位循环右移一位(FC,FZ)01110F=A逻辑

《计算机组成原理》运算器实验报告

一. 实验目的及要求 (1) 理解运算器的组成构造。 (2) 掌握运算器的工作原理。 二. 实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时承受来自 A 和B 的数据〔有些处理器体系构造把移位运算器放于算术和逻辑运算部件之前,如ARM〕,各部件对操作数进展何种运算由控制信号 S3…S0和CN来决定,任何时候,多

路选择开关只选择三部件中一个部件的结果作为 ALU的输出。假如是影响进位的运算,还将置进位标志 FC,在运算结果输出前,置 ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用穿插开关矩阵来实现,穿插开关的原理如图1-1-2所示。图中显示的是一个 4X4 的矩阵〔系统中是一个 8X8 的矩阵〕。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的那么输出连接0 。(2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左 3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填充,详细由相应的指令控制。使用另外的逻辑进展移位总量译码和符号判别。 运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供〔时序单元的介绍见附录二〕,其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示〔以A0为例,其它一样〕。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。

基本运算器实验

基本运算器实验报告 计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU 中的运算器来完成,运算器也称作算术逻辑部件ALU。本次试验首先做一个基本的运算器实验,了解运算器的基本结构。 一、实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二、实验设备 PC 机一台, TD-CMA实验系统一套。 三、实验原理 四、实验步骤 (1) 按图1-1-5 连接实验电路,并检查无误。图中将用户需要连接的信号 用圆圈标明(其它实验相同) 。 图1-1-5 实验接线图 (2) 将时序与操作台单元的开关KK2 置为‘单拍'档, 开关KK1、KK3 置为‘运行'档。 (3) 打开电源开关,如果听到有‘嘀'报警声,说明有总线竞争现象,应立

即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,

将运算器的 A 、 B 和FC、FZ 清零。 (4) 用输入开关向暂存器 A 置数 ① 拨动CON 单元的SD27⋯SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘ 1',灭为‘ 0'。 ② 置LDA=1,LDB=0,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数01100101 置入暂存器 A 中,暂存器 A 的值通过ALU 单元的A7⋯A0 八位LED 灯显示。 (5) 用输入开关向暂存器 B 置数。 ① 拨动CON 单元的SD27⋯SD20 数据开关,形成二进制数(或其它数值)。 ② 置LDA=0,LDB=1,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数置入暂存器B 中,暂存器B 的值通过ALU 单元的B7 ⋯B0 八位LED 灯显示。 (6) 改变运算器的功能设置,观察运算器的输出。置ALU_B=0、LDA=0、LDB=0,然后按表1-1-1 置S3、S2、S1、S0 和Cn 的数值,并观察数据总线LED 显示灯显示的结果。如置S3 、S2、S1、S0 为0010 ,运算器作逻 辑与运算,置S3 、S2、S1、S0 为1001 ,运算器作加法运算。

相关文档