文档视界 最新最全的文档下载
当前位置:文档视界 › 计算机组成原理期末考试试卷及答案

计算机组成原理期末考试试卷及答案

计算机组成原理期末考试试卷及答案
计算机组成原理期末考试试卷及答案

计算机组成原理期末考试试卷(1)

一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)

1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。

A.B.C.

2.在定点二进制运算器中,减法运算一般通过______来实现。

3.A.补码运算的二进制加法器B.补码运算的二进制减法器

4.C.补码运算的十进制加法器D.原码运算的二进制减法器

5.下列关于虚拟存储器的说法,正确的是_B___。

A.提高了主存储器的存取速度

B.扩大了主存储器的存储空间,并能进行自动管理和调度

C.提高了外存储器的存取速度

D.程序执行时,利用硬件完成地址映射

6.下列说法正确的是__B__。

A.存储周期就是存储器读出或写入的时间

B.双端口存储器采用了两套相互独立的读写电路,实现并行存取

C.双端口存储器在左右端口地址码不同时会发生读/写冲突

D.在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式

7.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用__C__寻址方式。

A.堆栈

B.立即

C.隐含

D.间接

8.指令系统中采用不同寻址方式的目的主要是___D___。

9.A.实现存储程序和程序控制B.提供扩展操作码的可能并降低指令译码难度

10.C.可以直接访问外存D。缩短指令长度,扩大寻址空间,提高编程灵活性

11.下列说法中,不符合RISC指令系统特点的是__B__。

A.指令长度固定,指令种类少

B.寻址方式种类尽量少,指令功能尽可能强

C.增加寄存器的数目,以尽量减少访存的次数

D.选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

12.指令周期是指___C___。

13.A.CPU从主存取出一条指令的时间B.CPU执行一条指令的时间

14.C.CPU从主存取出一条指令加上执行这条指令的时间D.时钟周期时间

15.

假设微操作控制信号用n C 表示,指令操作码译码输出用m I 表示,节拍电位信号

用k M 表示,节拍脉冲信号用i T 表示,状态反馈信息用i B 表示,则硬布线控制器的控制信号n C 可描述为__D__。

A.()i m n T I f C ,=

B.()i m n B I f C ,=

C.()i i k n B T M f C ,,=

D.

()i i k m n B T M I f C ,,,=

10.下列关于PCI 总线的描述中,正确的是__A__。 A.PCI 总线的基本传输机制是猝发式传送

B.以桥连接实现的PCI 总线结构不允许多条总线并行工作

C.PCI 设备一定是主设备

D.系统中允许只有一条PCI 总线

二. 填空题(下列每空2分,共32分)

1. IEEE754标准的32位规格化浮点数,所能表达的最大正数为

____128

232)]21(1[?-+-_______。

2. 对存储器的要求是容量大,___速度快___,成本低。为了解决这方面的矛盾,计算机采

用多级存储体系结构。

3. DRAM 存储器之所以需要刷新是因为_____有信息电荷泄漏,需定期补充。

4. 有静态RAM 与动态RAM 可供选择,在构成大容量主存时,一般就选择_动态RAM_。 5. 在集中式总线仲裁中,___独立请求方式______方式响应最快,___菊花链查询______方

式对电路故障最敏感。

6. 某CRT 的分辨率为10241024?,灰度级为256,帧频为75Hz ,则刷存总带宽应为

___________MB/s 。

7. Pentium 系统有两类中断源:由CPU 外部的硬件信号引发的称为中断,它又可分为___可

屏蔽中断________和____非屏蔽中断_______;由指令执行引发的称为___异常____,其中一种是执行异常,另一种是_____执行软件中断指令_________。

8. 中断接口电路中的EI 触发器的作用是_____是否允许中断源的中断请求被发出____,RD

触发器的作用是____外设准备好时发出就绪信号_______,DMA 控制器中的内存地址计数器的作用是_存放内存中要交换的数据块的首地址。

9. 字节多路通道可允许每个设备进行__传输__型操作,数据传送单位是___字节。

三. 简答题(共24分)

1. (8分)设浮点数的阶码为4位(补码表示,含阶符),尾数为6位(补码表示,含尾

符),x ,y 中的指数、小数项均为二进制真值。

1101.0201?=x ,)1010.0(211-?=y ,求y x +。

解:)11010.0(2

010

-?=+y x

1) 求阶差对阶

故x M 需右移2位变为:)10(00110.0 2) 尾数用双符号位求和 3) 规格化

左规为:)0(00101.11,指数为0010 4) 所以有:

2. (8分)某机器字长16位,主存容量为64K 字,共64条指令,试设计单地址单字长指令

格式,要求操作数有立即数、直接、变址和相对寻址4种方式,并写出寻址模式定义和在每种寻址方式下的有效地址计算公式。答:由于有64条指令故操作码OP 字段占6位;寻址模式字段X 占2位;剩余8位留给D 字段,故指令格式为:

15~109~87~0

X=00X=01,直接寻址EA=D

X=10,变址寻址EA=(R )+D ,R 为16位变址寄存器 X=11,相对寻址EA=(PC )+D ,PC 为16位程序计数器

3. (8分)一盘组共11片,每片双面记录,最上最下两个面不用。内外磁道直径分别为

10、14英寸,道密度为100道/英寸,数据传输率为983040字节/秒,磁盘组的转速为3600转/分。设每个记录块记录1024字节,现某计算机系统挂接16台这样的磁盘,试设计适当的磁盘寻址格式,并计算该系统总存储容量。

四. 分析与设计题(从下列3题中选做2题,共24分)

1. (12分)假设存储器的容量为32字,字长64位,现已用若干存储芯片构成4个模块

30M M -,每个模块8个字。

1) 试分别用顺序方式和交叉方式构造存储器,要求画图并说明。

2) 设起始地址为00000,那么在交叉方式中,第26号字存储单元的地址是多少? 3) 若存储周期为200ns ,数据总线宽度为64位,总线传送周期为50ns ,求交叉存储器

方式中,存储器的带宽是多少?

2. (12分)流水线中有三类数据相关冲突:写后读(RAW )、读后写(WAR )和写后写

(WAW )相关,某CPU 具有五段流水线IF (取指令)、ID (指令译码和取寄存器操作数)、EXE (ALU 执行)、MEM (访存)和WB (结果写回寄存器),现有按以下次序流入流水线的指令组:

3121

,,R R R SUB

I ;312R R R -←

4252,,R R R AND I ;425R and R R ← 2673,,R R R OR I ;267R or R R ← 2274

,,R R R ADD

I ;227R R R +←

1) 判断存在哪种类型的数据相关。

2) 假定采用将相关指令延迟到所需操作数被写回寄存器堆后再进行ID 的方式来解决上

述冲突,那么处理器执行这4条指令共需要多少个时钟周期?要求用表格方式分析。

3. (12分)某计算机微程序控制器控制存储容量为256?32位,共71个微操作控制信号,

构成了5个相斥的微命令组,各组分别含有4、8、17、20和22个微命令。下图给出了其部分微指令序列的转移情况,方框内的字母表示一条微指令,分支点a 由指令寄存器的5IR ,6IR 两位决定,修改5A μ6A μ,分支点b 由条件码标志0C 决定,修改4A μ。现采用断定方式实现微程序的顺序控制。 1) 给出采用断定方式的水平型微指令格式。 2) 画出微地址转移逻辑表达式和电路图。 五.六. 独立请求方式,菊花链查询方式 5. 75

6. 可屏蔽中断,非屏蔽中断,异常,执行软件中断指令

7. 是否允许中断源的中断请求被发出,外设准备好时发出就绪信号,存放内存中要交换的

数据块的首地址 8. 传输,字节

七. 简答题(每小题5分,共10分)

解:)11010.0(2

010

-?=+y x

5) 求阶差对阶

故x M 需右移2位变为:)10(00110.0 6) 尾数用双符号位求和

7) 规格化

左规为:)0(00101.11,指数为0010 8) 所以有:

1. 由于有64条指令故操作码OP 字段占6位;寻址模式字段X 占2位;剩余8位留给D 字

段,故指令格式为:

15~109~87~0

X=00X=01,直接寻址EA=D

X=10,变址寻址EA=(R )+D ,R 为16位变址寄存器 X=11,相对寻址EA=(PC )+D ,PC 为16位程序计数器 2. 解:B r C N 1638460

3600

983040/=÷

== 所以,扇区数16102416384=÷=

故,表示磁盘地址格式的所有参数为:台数16,记录面20,磁道200,扇区数16,由此可得磁盘的地址格式为:

20~1716~98~43~0

B

八. 分析与设计题(共35分)

解:1)图略

2)第26号存储单元的地址为:

交叉方式:11010 3)bit bit q 256464=?=

2.

解:1)1I 和2I 3I 之间存在RAW 数据相关

3I 和4I 之间存在WAW 数据相关

2)如下表所示:

3.

解:1)71个微命令不可能采用直接表示法,故采用字段译码法,控存容量为256,故后继地址为8位,微指令格式为:

31~2928~2524~2019~1514~109~87~0

3)

6

4

1

6

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

2019年《计算机组成原理》试卷一

《计算机组成原理》试卷一 一.选择题(每小题1分,共20分) 1. 我国在______年研制成功了第一台电子数字计算机,第一台晶体管数字计算机于 ______年完成。b5E2RGbCAP A. 1946,1958 B. 1950,1968 C. 1958,1961 D. 1959,1965 2. 目前大多数集成电路生产中,所采用的基本材料为______。 A. 单晶硅 B. 非晶硅 C. 锑化钼 D. 硫化镉 3. 下列数中最大的数是______。 A. (100110001)2 B. (227)8 C. (98)16 D. (152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 用32位字长(其中1位符号位)表示定点小数时,所能表示的数值范围是______。 A. 0≤│N|≤1-2-32 B. 0≤│N|≤1-2-31p1EanqFDPw C. 0≤│N|≤1-2-30 D. 0≤│N|≤1-2-29DXDiTa9E3d 6. 定点运算器用来进行______。 A. 十进制数加法运算 B. 定点数运算 C. 浮点数运算 D. 即进行定点数运算也进行浮点数运算 7. 某SRAM芯片,其存储容量为64ⅹ16位,该芯片的地址线和数据线数目为______。 A. 64,16 B. 16,64 C. 64,8 D. 16,6RTCrpUDGiT 8. 闪速存储器称为______。 A. 光盘 B. 固态盘 C. 硬盘 D. 软盘 9. 二地址指令中,操作数的物理位置不可安排在______。 A. 栈顶和次栈顶 B. 两个主存单元 C. 一个主存和一个寄存器 D. 两个寄存器 10. 堆栈寻址方式中,设A为累加寄存器,SP为堆栈指示器,Msp为SP 指示器的栈顶单元,如果操作的动作是:(A)→Msp,(SP)-1→SP,那么出栈操作的动作为: A. (Msp)→A,(SP)+1→SP B. (SP)+1→SP,(Msp)→A C. (SP)-1→SP,(Msp)→A D. (Msp)→A,(SP)-1→SP 11. 中央处理器(CPU)是指______。 A. 运算器 B. 控制器 C. 运算器. 控制器和cache D. 运算器、控制器和主存储器 12. 指令寄存器的作用是______。 A. 保存当前指令的地址 B. 保存当前正在执行的指令 C. 保存下一条指令 D. 保存上一条指令 13. 下面描述的RISC机器基本概念中正确的表达是______。 A. RISC机器不一定是流水CPU B. RISC机器一定是流水CPU C. RISC机器有复杂的指令系统

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

计算机组成原理2003秋试卷

哈工大 2003 年 秋 季学期 题号 一 二 三 四 五 六 七 八 九 十 总分 分数 一、 填空题(24分) 1.DMA 的数据块传送可分为 、 和 阶段。 2.设 n = 16 (不包括符号位),机器完成一次加和移位各需100ns ,则原码一位乘最多需 ns,补码Booth 算法最多需 ns 。 3.设相对寻址的转移指令占2个字节,第一字节为操作码,第二字节是位移量(用补码表示),每当CPU 从存储器取出一个字节时,即自动完成(pc )+ 1→ pc 。设当前指令地址为3008H ,要求转移到300FH ,则该转移指令第二字节的内容应为 。若当前指令地址为300FH ,要求转移到3004H ,则该转移指令第二字节的内容为 。 4.设浮点数阶码为8位(含1位阶符),用移码表示,尾数为24位(含1位数符),用补码规格化表示,则对应其最大正数的机器数形式为 ,真值为 (十进制表示); 对应其绝对值最小负数的机器数形式为 ,真值为 (十进制表示)。 5.利用 指令进行输入输出操作的I/O 编址方式为统一编址。 班号 姓名 计算机组成原理 试 题

6.一个组相联映像的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共位,其中主存字块标记应为位,组地址应为位,Cache地址共位。 7.和组成存储系统的层次结构。 8.在总线集中式判优控制中,方式对故障很敏感, 方式速度最快。对于同步通信而言,影响其效率的主要因素是,它一般用于场合。 二、解释下列概念(20分) 1.机器周期和时钟周期 2.周期挪用和向量地址 3.中断隐指令及其功能 4.双重分组跳跃进位

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理试题及答案 (1)#精选.

计算机组成原理试题及答案 一、填空(12分) 1.某浮点数基值为2,阶符1位,阶码3位,数符1位,尾数7位,阶码 和尾数均用补码表示,尾数采用规格化形式,用十进制数写出它所能表示的最大正数,非0最小正数,最大负数,最小负数。 2.变址寻址和基址寻址的区别是:在基址寻址中,基址寄存器提 供,指令提供;而在变址寻址中,变址寄存器提供,指令提供。 3.影响流水线性能的因素主要反映在和 两个方面。 4.设机器数字长为16位(含1位符号位)。若1次移位需10ns,一次加 法需10ns,则补码除法需时间,补码BOOTH算法最多需要时间。 5.CPU从主存取出一条指令并执行该指令的时间 叫,它通常包含若干个,而后者又包含若干个。组成多级时序系统。 二、名词解释(8分) 1.微程序控制 2.存储器带宽 3.RISC 4.中断隐指令及功能

三、简答(18分) 1. 完整的总线传输周期包括哪几个阶段?简要叙述每个阶段的工作。 2. 设主存容量为1MB,Cache容量为16KB,每字块有16个字,每字32位。 (1)若Cache采用直接相联映像,求出主存地址字段中各段的位数。 (2)若Cache采用四路组相联映像,求出主存地址字段中各段的位数。 3. 某机有五个中断源,按中断响应的优先顺序由高到低为L0,L1,L2,L3,L4,现要求优先顺序改为L3,L2,L4,L0,L1,写出各中断源的屏蔽字。

4. 某机主存容量为4M ×16位,且存储字长等于指令字长,若该机的指令系统具备120种操作。操作码位数固定,且具有直接、间接、立即、相对四种寻址方式。 (1)画出一地址指令格式并指出各字段的作用; (2)该指令直接寻址的最大范围; (3)一次间址的寻址范围; (4)相对寻址的寻址范围。 四、(6分) 设阶码取3位,尾数取6位(均不包括符号位),按浮点补码运算规则 计算 [25169?] + [24)16 11 (-?] 五、画出DMA 方式接口电路的基本组成框图,并说明其工作过程(以输入设备为例)。(8分)

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

计算机组成原理试卷A卷答案

华侨大学2012—2013学年第一学期期末考试 《计算机组成原理》考试试卷(A卷) 学院课程名称考试日期 姓名专业学号 一、选择题(本大题共15小题,每小题2分,共30分)) 1、完整的计算机系统应包括_______。 A.CPU和主存B.外部设备和主机 C.主机和实用程序D.配套的硬件系统和软件系统 2、有些计算机将一部分软件永恒地存于只读存储器中,称之为_______。 A.硬件B.软件C.固件D.辅助存储器 3、冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是_______。 A.指令操作码的译码结果B.指令和数据的寻址方式 C.指令周期的不同阶段D.指令和数据所在的存储单元 4、浮点数加减运算过程一般包括对阶、尾数运算、规格化、舍入和溢出判断等步骤。设浮点数的阶码和尾数都采用补码表示,且位数分别为5位和7位(均含2位符号位)。若有两个数X=27*29/32、Y=25*5/8,则浮点加法计算X+Y的最终结果是_____。 A.00111 1100010 B.00101 0001000 C.01000 0010001 D.发生溢出 5、下列关于RISC的叙述中,错误的是_______。 A.RISC普遍采用微程序控制器 B.RISC大多数指令在一个时钟周期内完成 C.RISC的内部通用寄存器数量相对CISC多 D.RISC的指令数、寻址方式和指令格式种类相对CISC少 6、在微机中,系统日期、硬盘参数与计算机配置信息等均存储在_______。 A.Cache B.ROM C.EPROM D.CMOS 7、相对于微程序控制器,硬布线控制器的特点是_______。 A.指令执行速度慢,指令功能的修改和扩展容易 B.指令执行速度慢,指令功能的修改和扩展困难 C.指令执行速度快,指令功能的修改和扩展容易 D.指令执行速度快,指令功能的修改和扩展困难 8、下列有关RAM和ROM的叙述中,正确的是_______。 ① RAM是易失性存储器,ROM是非易失性存储器 ② RAM和ROM都是采用随机存取方式进行信息访问 ③ RAM和ROM都可用做Cache ④ RAM和ROM都需要进行刷新 A.仅①②B.仅②③C.仅①②③D.仅②③④

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理试卷4

计算机组成原理试题4 一、选择题(共20分,每题1分) 1.一条指令中包含的信息有。 A.操作码、控制码; B.操作码、向量地址; C.操作码、地址码。 2.在各种异步通信方式中,______速度最快。 A.全互锁; B.半互锁; C.不互锁。 3.一个512KB的存储器,其地址线和数据线的总和是______。 A.17; B.19; C.27。 4.在下列因素中,与Cache的命中率无关的是。) A.Cache块的大小; B.Cache的容量; C.主存的存取时间。 5.在计数器定时查询方式下,若计数从0开始,则______。 A.设备号小的优先级高; B.每个设备使用总线的机会相等; C.设备号大的优先级高。 6.Cache的地址映象中,若主存中的任一块均可映射到Cache内的任一块的位置上,称作。 A.直接映象; B.全相联映象; C.组相联映象。 7.中断服务程序的最后一条指令是______。 A.转移指令; B.出栈指令; C.中断返回指令。 8.微指令操作控制字段的每一位代表一个控制信号,这种微程序的控制(编码)方式是______。 A.字段直接编码; B.直接编码; C.混合编码。 9.在取指令操作之后,程序计数器中存放的是______。 A.当前指令的地址; B.程序中指令的数量; C.下一条指令的地址。 10.以下叙述中______是正确的。 A.RISC机一定采用流水技术;

B.采用流水技术的机器一定是RISC机; C.CISC机一定不采用流水技术。 11.在一地址格式的指令中,下列是正确的。 A.仅有一个操作数,其地址由指令的地址码提供; B.可能有一个操作数,也可能有两个操作数; C.一定有两个操作数,另一个是隐含的。 12.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同; B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同; D.阶符与数符不同。 13.I/O采用不统一编址时,进行输入输出操作的指令是______。 A.控制指令; B.访存指令; C.输入输出指令。 14.设机器字长为64位,存储容量为128MB,若按字编址,它的寻址范围是。 A.16MB; B.16M; C.32M。 15.寻址便于处理数组问题。 A.间接寻址; B.变址寻址; C.相对寻址。 16.超标量技术是______。 A.缩短原来流水线的处理器周期; B.在每个时钟周期内同时并发多条指令; C.把多条能并行操作的指令组合成一条具有多个操作码字段的指令。 17.以下叙述中______是错误的。 A.取指令操作是控制器固有的功能,不需要在操作码控制下完成; B.所有指令的取指令操作都是相同的; C.在指令长度相同的情况下,所有指令的取指操作都是相同的。 18.I/O与主机交换信息的方式中,中断方式的特点是______。 A.CPU与设备串行工作,传送与主程序串行工作; B.CPU与设备并行工作,传送与主程序串行工作; C.CPU与设备并行工作,传送与主程序并行工作。 19.设寄存器内容为11111111,若它等于+127,则为______。 A.原码; B.补码; C.反码; D.移码。 20.设机器数采用补码形式(含l位符号位),若寄存器内容为9BH,则对应的十进制数为______。 A.-27; B.-97;

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

计算机组成原理试卷

考试科目名称 计算机组织与系统结构 (A卷)2008——2009学年第 2 学期 教师 袁春风/窦万春考试方式:闭卷系(专业) 计算机科学与技术年级 2007班级 学号 姓名 成绩 题号一二三四五六 分数 一、填空题(本大题共10小题,每空1分,共20分) 得分 1. 在计算机系统层次结构中,指令集体系结构(或ISA,或指令系统)处于硬件和软件交界面, 硬件所有功能由它集中体现,软件通过它在硬件上执行。 2. 任何高级语言源程序或汇编语言源程序都必须翻译成机器代码才能在硬件上执行。完成这种翻译转 换任务的程序有汇编程序、解释程序(或解释器)和编译程序(或编译器)三类。 3. 响应时间和吞吐率(或带宽,或数据传输率)是衡量一个计算机系统好坏的两个基本性 能。不同应用场合,用户关心的性能不同。例如,对于银行、证券等事务处理系统来说,事务处理用户主要关心的是响应时间。 4. 一个变量在计算机内部用0或1编码表示的数被称为机器数,变量真正的值被称为真值。 5. 假定某变量x存放在寄存器R1中为1111 1111 1111 1111 1111 1011 1100 0000B,则变量x在屏 幕上用16进制显示为0x FFFFFBC0 。若x的类型为int,则x的值为 -1088; 对R1进行算术左移4位后的值在屏幕上显示为0x FFFFBC00 ;对R1算术右移4位后为0x FFFFFFBC ;对R1逻辑右移4位后为0x 0FFFFFBC 。 6. 与硬连线路控制器相比,微程序控制器的缺点是速度慢。 7. 假定某计算机采用小端方式,按字节编址。若某变量x的主存地址为00001000H,其数据类型为float, 已知x=-1.5,则主存地址00001000H和00001003H中存放的内容分别是00 H和BF H。 8. 可以用一个特殊的Cache来记录最近使用页的页表项,因为页表项主要用于地址转换,所以把这种 特殊的Cache称为转换后援缓冲器,简称TLB (或快表)。 9. 当处理器发现有未被屏蔽的中断请求发生时,通常通过执行一个“中断隐指令”进行中断响应。在 中断响应过程中,完成三个任务,它们是关中断(或清除中断允许标志)、保存断点(及机器状态)、将中断服务程序首地址送PC。 10. 现代计算机的主存大多采用字节编址方式。所以,假定一个分页虚拟存储器系统的虚拟地址位数为 48位,则虚拟(逻辑)地址空间大小应为256TB。若页面大小为512KB,则一个程序最多可以有512M (或229)个页面。

相关文档
相关文档 最新文档