文档视界 最新最全的文档下载
当前位置:文档视界 › 出租车计价器控制电路的设计

出租车计价器控制电路的设计

出租车计价器控制电路的设计
出租车计价器控制电路的设计

出租车计价器控制电路的设计

中文摘要

本设计主要介绍了基于电子工作平台Electronics Workbench (EWB)(现称为MultiSim)出租车计价器控制电路的设计。整个自动控制系统由四个主要电路构成:里程计数及显示、计价电路、基本里程判别电路、秒信号发生器及等候计时电路和清零复位电路。以Electronics Workbench (EWB)软件作为开发平台,采用图形方式创建电路、构造电路、调用元器件和测试仪器,该工作平台可以对电子元器件进行一定程度的非线性仿真,不仅测试仪器的图形与实物相似,而且测试结果与实际调试基本相似。该设计不仅仅实现了显示计程车计费的功能,其多功能表现在它可以显示计程车累计走的总路程和里程单价。

关键词:EWB软件、出租车计价器、里程计数

目录

第一章引言

第二章EWB软件的介绍

§.2.1 EWB的概述

§.2.2 EWB的使用方法

第三章74LS系列芯片介绍

§.3.1 主要芯片介绍

第四章出租车计价器设计

§.4.1 出租车计价器的设计内容

§.4.2 出租车计价器的设计

§.4.2.1 控制电路

§.4.2.2里程计数及显示

§.4.2.3计价电路

§.4.2.4基本里程判别电路

§.4.2.5秒信号发生器及等候计时电路

§.4.2.6清零复位电路

§.4.2.7总体电路设计

结语

致谢

参考文献

第一章引言

凡坐过出租车的人都知道,只要汽车一开动,随着行驶里程的增加,就会看到汽车前面的计

价器里程数字显示的读数从零逐渐增大,而当行驶到某一值时(如5KM)计费数字显示开

始从起步价(如10元)增加。当出租车到达某地需要在那里等候时,司机只要按一下“计

时”键,每等候一定时间,计费显示就增加一个该收的等候费用。汽车继续行驶时,停止计

算等候费,继续增加里程计费。到达目的地,便可按显示的数字收费。

汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最

重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋

友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。

采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。为此我们采用了单片机进行设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对仿真,用EWB可以轻易而举的实现。避免了机械开关带来的不稳定因素。我们此次的设计就是运用EWB。

随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注。于是,出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。用更加精良的计价器来为乘客提供更加方便快捷的服务。

我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。

现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。

第二章EWB软件的介绍

§.2.1 EWB的概述

随着电子技术和计算机技术的发展,电子产品已与计算机紧密相连,电子产品的智能化日益完善,电路的集成度越来越高,而产品的更新周期却越来越短。电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。EDA是在计算机辅助设计(CAD)技术的基础上发展起来的计算机设计软件系统。与早期的CAD软件相比,EDA软件的自动化程度更高、功能更完善、运行速度更快,而且操作界面友善,有良好的数据开放性和互换性。

电子工作平台Electronics Workbench (EWB)(现称为MultiSim) 软件是加拿大Interactive Image Technologies公司于八十年代末、九十年代初推出的电子电路仿真的虚拟电子工作台软件,“虚拟电子工作平台”(Electronics Workbench),简称EWB,是加拿大“Interactive Image Technologies”公司设计推出的电子电路仿真分析、设计软件。与其它电路仿真软件相比较,EWB具有界面直观、操作方便、采用图形方式创建电路等优点,构造电路、调用元器件和测试仪器等都可以直接从窗口图形中调出,可以对电子元器件进行一定程度的非线性仿真,不仅测试仪器的图形与实物相似,而且测试结果与实际调试基本相似。使用虚拟测试仪器对电路进行仿真实验如同置身于实验室使用真实仪器测试电路,既解决了购买大量元器件和高档仪器的难处,又避免了仪器损坏等不利因素。同时在该软件下调试所得结果电路可以和tango、protel和orcad等印制电路设计软件共享,生成印制电路,自动排出印制电路版,从而大大加快了产品开发速度,提高工作效率。而且该软件直观的电路图和仿真分析结果的显示形式非常适合于电子类课程课堂和实验教学环节,是一种非常好的电子技术实训工具。可以弥补实验仪器、元件少的不足及避免仪器、元器件的损坏,可以帮助学生更好地掌握课堂教学内容,加深对概念、原理的理解,通过电路仿真,进一步培养学生的综合分析、开发设计和创新能力。它具有这样一些特点:

(1)采用直观的图形界面创建电路:在计算机屏幕上模仿真实实验室的工作台,绘制电路图需要的元器件、电路仿真需要的测试仪器均可直接从屏幕上选取;

(2)软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。

(3)EWB软件带有丰富的电路元件库,提供多种电路分析方法。

(4)作为设计工具,它可以同其它流行的电路分析、设计和制板软件交换数据。

(5)EWB还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器可以用比实验室中更灵活的方式进行电路实验,仿真电路的实际运行情况,熟悉常用电子仪器测量方法。

§.2.2 EWB的使用方法

创建一个仿真实验电路,必须掌握一些基本的操作方法。为了叙述方便,对鼠标器和键盘的有关操作术语规定如下:

单击:按鼠标左键一下,然后马上放开;

双击:快速、连续按鼠标左键两下;

拖曳:把鼠标指针放在某一对象上,按鼠标左键不放,移动鼠标指针到一个新的位置,然后再释放鼠标左键。

一、创建空白文档及元器件的选用。

首先打开EWB软件然后在文件菜单里面点新建或者直接点新建按钮,创建一个空白的电路图,然后根据电路需要,先在元器件库栏中打开该元器件库的下拉菜单,然后从元器件库中将选中的元器件拖曳到电路工作区。

二、元器件的选中

选择单个元器件的方法:单击要选中的元器件,被选中的元器件以红色显示,便于识别。选择多个元器件的方法:Ctrl+单击需要的所有元器件,被选中的所有元器件都以红色显示。如果要同时选中一组相邻的元器件,可以在电路工作区的适当位置拖曳画出一个矩形区域,包围在该矩形区内的一组元器件即被同时选中。

取消选中元器件的方法:取消所有被选中元器件的选中状态,只需单击工作区的空白部分。要取消某一元器件的选中状态,只需使用Ctrl+单击该元器件。

三、元器件的移动

移动元器件至特定的位置,只要拖动该元器件即可。

如移动的元器件为多个,则必须先用前面的方法选中这些元器件,然后用鼠标的左键拖曳其中的任意一个元器件,则所有选中的元器件就会一起移动到指定的位置。需注意的是与其连接的导线也会重新排列。

如果只是想微移动某个(或某些)元器件的位置,也可以先选中它(们),然后再使用键盘上的箭头键作微小的移动。

四、元器件的调整

为便于电路的合理布局和连线,经常需要对元器件进行调整,这些调整包括旋转、垂直翻转、和水平翻转等。在元器件被选中状态下,可用下面三种方式实现:

①菜单方式,菜单栏中命令如下:

Circuit / Rotate →电路/ 旋转

Circuit/Flip Vertical →电路/ 垂直反转

Circuit / Flip Horizintal →电路/ 水平反转

②工具栏图标方式:

旋转→垂直反转→水平反转→

③热键方式:

Ctrl+R →旋转

五、元器件和仪器的放置

EWB的电路图就在工作区中绘制,绘制时用户从工具栏的各个按钮中选取出要放置的元器件和仪器仪表,用鼠标拖放到工作区中。

六、元器件与仪器的连线

当元器件和仪器放置好后,就可对元器件和仪器开始连线。先移动鼠标到要连接的元器件的端点,此时鼠标会变成一个小黑圆点,按下鼠标并拖动它,当拖动到另一元器件端点时鼠标又变成小黑圆点形状,此时松开鼠标按键,则两个元器件间就建立了一根连线。当从一个元器件端点往一根连线上连线时,拖动鼠标靠近该线时线上会出现一个小黑圆点,此时松掉鼠标则该元器件会连接到该连线上,并自动产生一个节点。同样,当往一个节点上连线时也是作同样的操作。只是线与节点上可以产生不止一个的小黑圆点,分别对应不同的方向,连线时应注意小黑圆点的朝向。

七、元器件参数的编辑与修改

用鼠标双击要编辑的元器件就会弹出该元器件的参数对话框,用户可在该对话框中对它的各种参数进行修改。

八、仿真环境的设定

用户在对电路进行仿真之前,要先对仿真分析环境进行设定。在菜单栏上依次选取ANAL YSIS、ANAL YSIS-OPTION,则弹出ANAL YSIS-OPTION对话框,用户可对其中的仿真环境参数进行设定,如环境温度,绝对电流误差等。

九、对绘制好的电路进行仿真

在上述步骤完成后,按下启动按钮即可进行电路仿真。此时用户可以对电路的工作进行各种分析,如付里叶分析,噪声分析等等,用鼠标双击电路中的仪器可以打开仪器面板,通过改变面板上的参数来改变电路输入状态或查看电路仿真结果,如改变信号发生器的输出波形、幅度和频率等来改变电路的输入状态,用户也可以查看它的仿真结果,如查看万用表上的指示值,查看示波器上的波形等。

EWB中的仪器是非常直观的,其仪器面板几乎和我们平时所用的仪器一样,用户会发觉这些仪器比实际使用中的那些仪器还要好用,比如示波器,它不仅无需进行同步调整,而且它还有波形记忆功能,用户可以随时查看仿真过程中任一时该的输出波形。

EWB的工具栏上的按钮是用来作分析用的,当电路中有使用到如示波器或扫频仪等仪器时,按下该按钮就会弹出如下图所示的ANAL YSIS GRAPHS窗口,用户可以清楚地看到电路中的波形状态。当仿真时间很长时,整个仿真过程的波形会都存在屏幕上,此时波形可能看不清楚,但用户可以用鼠标从该屏幕上拉出一小块窗口,则选定区域就会放大到整个窗口。十、仿真时出错的处理

当电路有问题时,EWB仿真过程中会产生出错信息,并出现在ANAL YSIS GRAPHS窗口上,用户可从其出错信息中找到出错原因和故障所在,再修改电路或参数,改完后再进行仿真,真到正确为止。用户也可以在仿真的同时对电路参数进行修改,此时电路的状态和输出波形也会动态随之更改。

第三章74LS系列芯片介绍

§.3.1 主要芯片介绍

在设计中用到不少74系列的芯片,这里只是大致介绍一下几个。

54/74LS290

290为二,五,十进制计数器。

简要说明:

290为二,五,十进制计数器,共有54/74290 和54/74LS290 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别):

表3-1 290的主要电器特性

型号fC PD

54290/74290 42MHz 145mW

54LS290/74LS290 42MHz 45mW

异步清零端MR1,MR2 为高电平时,只要置9 端MS1,MS2 有一个为低电平,就可以完成清零功能。

当MS1,MS2 均为高电平时,不管其他输入端状态如何,就可以完成置9 功能。

当MR1,MR2 中有一个以及MS1,MS2 中有一个同时为低电平时,在时钟端/CP0,/CP1 脉冲下降沿作用下进行计数操作:

a) 十进制计数。应将/CP1 与Q0 连接,计数脉冲由/CP0 输入。

b) 二、五混合进制计数。应将/CP0 与Q1 连接,计数脉冲由/CP1 输入。

c) 二分频、五分频计数。Q0 为二分频输出,Q1~Q3 为五分频输出。

引出端符号:

/CP0 二分频时钟输入端(下降沿有效)

/CP1 五分频时钟输入端(下降沿有效)

Q0~Q3 输出端

MR1,MR2 异步复位端

MS1.MS2 异步置9 端

外部管腿如图3-1所示:

图3-1 290外部管脚图

逻辑图如图3-2所示:

图3-2 290电路逻辑图

极限值:

电源电压…………………………………………7V

输入电压

54/74290、54/74LS290 的/CP0、/CP1…………5.5V

54/74290、54/74LS290 的MR1,MR2.MS1,MS2 …7V

工作环境温度:

54XXX ………………………………………-55~125℃

74XXX ………………………………………0~70℃

存储温度…………………………………-65~150℃

74LS244

74LS244是三态八缓冲器/线驱动器/线接收器(3S,两组控制)。

简要说明:

74LS244为三态输出的八组缓冲器和总线驱动器,其引出端符号为:

1A1~1A4,2A1~2A4 输入端

/1G, /2G 三态允许端(低电平有效)

1Y1~1Y4,2Y1~2Y4 输出端

逻辑图如图3-3所示:

图3-3 74LS244双列直插封装逻辑图

极限值:

电源电压……………………………………7V

输入电压……………………………………5.5V

输出高阻态时高电平电压……………… 5.5V

工作环境温度

54XXX …………………………………-55~125℃

74XXX …………………………………0~70℃

74LS273

74LS273是一种带清除功能的8D触发器,1D~8D为数据输入端,1Q~8Q为数据输出端,正脉冲触发,低电平清除,常用作8位地址锁存器。

逻辑图如图3-4所示:

图3-4 74LS273的逻辑图

D0~D7:输入;

Q0~Q7:输出;

第一脚MR:主清除端,低电平触发,即当为低电平时,芯片被清除,输出全为0(低电平);CP(CLK):触发端,上升沿触发,即当CP从低到高电平时,D0~D7的数据通过芯片,为0时将数据锁存,D0~D7的数据不变。

74LS74

74LS74为双上升沿D触发器(有预置、清除端)。

74LS74 为带预置和清除端的两组 D 型触发器,共有54/7474、54/74H74、54/74S74、54/74LS74 四种线路结构形式,其引出端符号为

1CP、2CP 时钟输入端

1D、2D 数据输入端

1Q、2Q、1Q (_)、2Q (_)输出端

CLR1、CLR2 直接复位端(低电平有效)

PR1、PR2 直接置位端(低电平有效)

逻辑图如图3-5所示:

图3-5 74LS74双列直插封装逻辑图

极限值

电源电压……………………………………………………7V

输入电压

54/7474、54/74H74、54/74S74…………………… 5.5V

54/74LS74…………………………………………… 7V

工作环境温度

54XXX ………………………………………………………-55~125℃

74XXX ………………………………………………………0~70℃

存储温度……………………………………………………-65~150℃

第四章出租车计价器设计

§.4.1 出租车计价器的设计内容

(1)进行里程显示。里程显示为三位数,精确到1公里。

(2)能预置起步价。如设置起步里程为5公里,收起步价费10元。

(3)行车能按里程收费,能用数据开关设置每公里单价。

(4)等候按时间收费,如每10分钟增收1公里费用。

(5)按复位键,显示装置清0,(里程清0,计价部分灭0)。

(6)按下计价键后,汽车运行计费,候时关断;候时计数时,运行计费关断。

§.4.2 出租车计价器的设计

§.4.2.1 控制电路

凡坐过出租车的人都知道,只要汽车一开动,随着行驶里程的增加,就会看到汽车前面的计价器里程数字显示的读数从零逐渐增大,而当行驶到某一值时(如5KM)计费数字显示开始从起步价(如10元)增加。当出租车到达某地需要在那里等候时,司机只要按一下“计时”键,每等候一定时间,计费显示就增加一个该收的等候费用。汽车继续行驶时,停止计算等候费,继续增加里程计费。到达目的地,便可按显示的数字收费。

如图4-1所示为出租车计价器控制电路框图。

图4-1 出租车计价器控制电路框图

§.4.2.2里程计数及显示

1、里程计数及显示

在出租车转轴上加装传感器,以便获得“行驶里程信号”。设汽车每走10米发一个脉冲,到1公里时,发100个脉冲,所以里程计数分为两部分:

10米计数器:要设计一个模100计数器,计满后是1公里。

公里计数器:用十进制计数方式,每位计数器对应一位译码显示。设计如图4-2所示。

图4-2 里程计数电路图

§.4.2.3计价电路

该电路由两部分组成:一是里程计价。在起价公里以内(如5公里内),按起步价算;若超过起价公里,则每走一公里,计价器则加上每公里的单价款。二是等候计价。汽车运行时,自动关断计时等候,而当要等候计数时,需要手动按动“等候”计费开关,进行计时,时间到(如设10分钟),则输出1个公里脉冲给公里计数器,相当于里程增加了1公里数字显均为十进制数,因此,加法也要以BCD码相加。

一位BCD码相加的电路如图4-3所示,当二位二进制BCD数字相加超过数值9时,有进位输出。

图4-3 一位BCD码加法器参考电路图

§.4.2.4基本里程判别电路

基本里程判别电路,可由触发器构成。当所设置的起价公里数到时,使触发器翻转。图4-4为5公里时触发器翻转的基本里程判别参考电路。

图4-4 基本里程判别参考电路

§.4.2.5秒信号发生器及等候计时电路

秒信号可用32768Hz石英晶振经CD4060分频后获得。简易的可用555定时器近似获得。我们采用的是555定时器。

候时计时器每10分钟输出一个脉冲。个位秒计数器为60进制,分计数器为十进制,这样就组成了600进制(10分钟)计数器,见图4-5。

§.4.2.6清零复位电路

清零复位后,要使各计数器均清0,显示器中仅有单价和起步价显示外,其余均显示为0。见图4-5。

汽车起动后,里程显示开始计数。当汽车等候时,等候时间开始显示。运行计数和等候计数

二者不同时计数工作。

§.4.2.7总体电路设计

出租车计价器分别由里程计数单元,候时计数单元,起步价,单价预置开关,加法器,显示及控制触发器等部分组成,如图4-5所示。

1.里程计数显示单元

图4-5 总体电路图

出租车启动后,每前进10米,发一个脉冲,通过IC19与门(74LS08),输入到IC4的CP0端进行计数,IC4,IC5(74LS290)为模100计数器,当计数器计满1km(100*10),在IC5的Q3输出一个脉冲,使IC6计数,显示器上就显示一公里。IC6,IC7,IC8为三位十进制计数器,计程最大范围999。

出租车计价时,开关K合上(打在位置2上)。

2.时间等候计数器

IC3,IC2,IC1为时间等候计数器。当出租车在等候时,司机按一下“候时”键,IC9(FF1)被置成1,触发器Q端输出1信号,使555定时器振荡,输出1Hz的脉冲到IC1,IC2,进行60秒计数,IC3位十进制计数器,当计满10分钟,输出一个脉冲,CP10到IC18或门,给里程计数器计数,即等候10分钟,相当于行程1公里。

若等候5分钟时,汽车恢复行驶,这时,汽车运行输出的脉冲,使IC9(FF1)翻转(Q=0),计时停止而转入计程。这样,二者不会重复计数,实现正确合理的收费。

3.计价电路部分

起步价由预置开关设置,开关的输出为BCD码,四位并行输入,通过三态门IC10,IC12(74LS244)显示器显示。基本起步价所行驶的里程达到后,按每公里的单价进行计价。由控制触发器IC9(FF2)控制起步里程到否?若起步里程到使IC9(FF2)Q端为1,/Q=0,这样IC11的IC13连通,显示器显示的为起步价与单价之和的值。

其实,本电路刚开始启动(复位)时,已经将起步价IC10,IC14在IC15中与单价相加了一次(即加了1公里的费用),所以,起步价里程的预置值应为6公里,即图中IC6的计数范围应是0~6,IC20的Q2*/Q1就是实现到起步里程数的自动置数控制信号。

两位BCD码的数值相加时通过4位二进制全加器CD4008进行的,两位相加若超过9,需进行加6运算,使之变为BCD码。

4.复位,秒信号,候时信号

复位按钮按下后,所有计数器、寄存器清0,里程计价显示这时全为0;而当复位按钮抬起后,计价器则显示起步价数值(里程单价显示不受复位信号控制)。

“候时”键按下IC9(FF1)的Q=1,脉冲秒信号产生,使计时电路计数。

脉冲信号由555定时电路产生。

结语

通过本次设计,我系统的学习了EWB软件。系统的掌握了我们所学的知识,并且得以应用。在设计过程认真学习了相关的知识,极大地拓宽了我的知识面,我感到收获不小。从开始熟悉这些知识到对整体设计的了解,再从概要设计、详细设计到开始使用软件,以及最后的调试,整个过程感觉很充实。虽然遇到了不少困难,但当我通过自己查资料,向指导老师请教以及与同学互讨论,而设计出解决方案并成功实现时,那种成就感和满足感足以忘却所有的辛苦。但是由于毕业设计时间较短和自己知识的不足,所以该设计还有许多不尽如人意的地方,可能在实际应用中有些功能不到位。

经过这段时间的毕业设计实习,确实学到了不少的东西,同时也深感自己知识的欠缺。虽然

即将毕业,但在以后的学习工作中,一定要继续坚持不段地学习新兴的专业知识及相关的非专业知识,只有这样才能紧跟时代的潮流。

由于我的知识浅薄,经验不足及阅历颇浅,因此,该设计还存有一些不足,比如功能过少,计价有限等问题,我会在工作的使用过程中,根据工作的具体要求不断的修改,完善,争取使该系统慢慢趋向完美。

致谢

本课题在选题及设计过程中得到张莹老师的悉心指导。张老师曾多次为我们指点迷津,帮助我们开拓设计思路,精心点拨、热忱鼓励。

出租车计价器系统的设计能全部完成,并按预期的效果进行计时、计费、计程。这都是在张老师的指导下进行的,再次衷心的感谢张老师。

在此,我还要感谢在一起设计讨论的同学,正是由于你们的帮助和支持,我才能克服一个又一个的困难和疑惑,直至本设计的顺利完成。感谢你们给予我的帮助。

在本系统的设计过程和论文编写过程中,还有很多老师、同学和朋友都给予了我许多无私的帮助,尤其是我的导师张莹老师给我提出了很多宝贵的修改意见,在这里,我向这些无私帮助我的人表示衷心的感谢!

参考文献

[1] 周常森,《电子电路计算机仿真技术》,山东科技出版社,2000

[2] 周正新,《电子设计自动话实践与训练》,中国民航出版社,1988

[3] 吴培明,《电子技术虚拟实验》,机械工业出版社,1999

[4] 钟问耀,《EWB电路设计入门与应用》,清华大学出版社,2000

[5] 康华光,《电子技术基础》,高等教育出版社,1998

[6] 刘润华,《现代电子系统设计》,石油大学出版社,1998

[7] 邱关源,《电路》,高等教育出版社,1997

[8] 陆坤,《电子设计技术》,电子科技大学出版社,1997

[9] 姚福安,《电子电路设计与实践》,山东科学技术出版社,2002

[10] 何书森、何华斌,《实用数字电路与设计速成》,福建科学出版社,2000

[11] 童诗白、徐振英,《现代电子学及应用》,北京高等教育出版社,1994

出租车计价器控制电路的设计

中文摘要

参考文献

[1] 周常森,《电子电路计算机仿真技术》,山东科技出版社,2000

[2] 周正新,《电子设计自动话实践与训练》,中国民航出版社,1988

[3] 吴培明,《电子技术虚拟实验》,机械工业出版社,1999

[4] 钟问耀,《EWB电路设计入门与应用》,清华大学出版社,2000

[5] 康华光,《电子技术基础》,高等教育出版社,1998

[6] 刘润华,《现代电子系统设计》,石油大学出版社,1998

[7] 邱关源,《电路》,高等教育出版社,1997

[8] 陆坤,《电子设计技术》,电子科技大学出版社,1997

[9] 姚福安,《电子电路设计与实践》,山东科学技术出版社,2002

[10] 何书森、何华斌,《实用数字电路与设计速成》,福建科学出版社,2000

[11] 童诗白、徐振英,《现代电子学及应用》,北京高等教育出版社,1994

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

最新出租车计价器课程设计

出租车计价器课程设 计

大学 《单片机应用与仿真训练》设计报告 出 租 车 计 价 器 姓名: 学号: 3 姓名: 学号: 专业班级:自动化09-7班 指导老师: 所在学院:电气学院 2012年7月4 日 摘要

本设计基于AT89SC51单片机,采用霍尔传感器采集轮胎转数的信息,使用外部中断0将霍尔传感器采集的信息输入到单片机,实现对于出租车行驶里程的计算;对于中途等待以及红绿灯等待,使用定时器计时,并将时间转化为里程数以计费;输出设备采用数码管,本设计采用的是两个4位一体的共阴极数码管,输出里程数和费用;此外,本设计还设计了状态指示灯,用以指示计价器的工作状态和显示状态。 出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志,性能良好的计价器对于乘客和出租车行业都是必需的。 关键词:计价器、单片机、霍尔传感器

目录 1 概述 (4) 1.1 出租车计价器概述 (4) 1.2 单片机的概述 (4) 2 总体方案设计 (6) 2.1 设计任务要求 (6) 2.1.1 设计任务 (6) 2.1.2 设计要求 (6) 2.2 设计的主要功能 (6) 2.3 方案的选取 (7) 2.3.1 硬件设计方案 (7) 2.3.2 软件设计方案 (8) 3 硬件设计 (8) 3.1 AT89S52单片机及最小系统 (9) 3.2 测距单元 (11) 3.3 按键单元和状态显示单元 (14) 3.4 数码显示单元 (15) 4 软件设计 (17) 4.1 系统主程序 (17) 4.2 数据处理子程序 (18) 4.3 等待时间计时子程序 (19) 4.4 键盘扫描子程序 (20) 5 Proteus软件仿真 (21) 6课程设计过程中遇到的问题 (22) 7 课程设计体会 (23) 参考文献 (24) 附1、原程序代码 (25) 附2、系统原理图 (30)

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

基于数电设计出租车里程计价器

电子技术课程设计报告题目:出租车里程计价器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月

出租车计价器课程设计 机械与电气工程学院自动化 1设计的任务与要求 1.1课程设计任务 (1)能够实现计程功能 (2)实现计费功能,计费标准为:按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,能将车费显示出来。 1.2初始参数和要求 (1)74LS160计数器的简介 74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器,共有54/74160 和54/74LS160 两种线路结构型式。 (2)74LS85比较器的简介 74LS85比较器是一个4位数值比较器,它是由高位开始比较,逐位进行。若最高位已比较出大小,则以后各位大小都对比较结果没有影响;如果最高位相等,则比较次高位;同理,次高位已比较出大小,则以后各位大小对结果没有影响。如果4位比较都相等,则再看级联信号输入。级联输入信号是由低位比较器的输出而来。 (3)74LS283加法器的简介 74LS加法器是4为超前进位加法器,能够实现两个数值信号的相加。 (4)初始要求 电路能够实现初始设定,起步费为6.0元,并在车行3公里后再按2.0 元/公里计算,车停止不计费,能将路程及车费显示出来。 2出租车里程计价器设计方案制定 2.1系统工作原理 本设计方案系统的总体工作原理如图1所示。按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,将路程及车费显示出来。

电子线路CAD课程设计报告出租车计价器

电子电路CAD课程设计 课题名称出租车计价设计 所在院系 班级 学号 姓名 指导老师 时间

目录 第一章引言 (4) 第二章设计方案 (5) 2.1出租汽车里程计价表设计的要求及技术指标 2.2设计方案论证 第三章电路原理图的绘制 (7) 第四章电路板图的绘制 (8) 第五章课程设计总结 (12) 第六章电子元件清单 (13) 第七章总电路原理图 (14) 第八章参考文献 (16)

第一章引言 随着生活水平的提高,人们已经不再满足于衣食住的享受,出行的舒适已经受到越来越多人的关注。于是,出租车行业低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷,困扰着行业的发展。而在出租车行业中解决这矛盾的最好的方法就是使用计价器,用规范的价格来为乘客提供更加方便快捷的服务。同时,出租车计价器是乘客与司机双方的交易准则,是出租车行业发展的重要标志,它关系着交易双方的利益。现在,城市建设日益加快,象征着城市面貌的出租车行业也将加快发展,计价器的普及是毫无疑问的,所以未来出租车行业计价器的市场是很有潜力的。本文是为了探索计价器的设计而制作的。随着计算机和信息技术的发展,EDA(Electronic Design Automation,电子设计自动化)技术已经代替了传统手工设计和制作印刷电路板的方法,成为现代电子工程领域的一门新技术。EDA技术的发展和推广极大地推动了电子工业的发展,由此各类EDA工具软件也如雨后春笋般地蓬勃发展起来。原理图设计、PCB设计、电路仿真和PLD设计都是EDA设计技术中的重要组成部分,而Altium公司(原Protel Technology公司)推出的Protel DXP软件全面集成了EDA设计几大技术,而且它还包含了电路仿真印刷电路板的信号完整性分析、可编程逻辑器件FPGA数字电路设计和VHDL硬件描述语言的应用

毕业论文之出租车计价器调研报告

调研报告 汽车计价器是乘客与司机双方的交易准则,它是出租车行业进展的重要标志,是出租车中最重要的工具。它关系着交易双方

的利益。具有良好性能的计价器不管是对宽敞出租车司机朋友依旧乘客来讲差不多上专门必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注。因此,出租车行业以低价高质的服务给人们带来了出行的享受。然而总存在着买卖纠纷困扰着行业的进展。而在出租车行业中解决这一矛盾的最好方法确实是改良计价器。用更加精良的计价器来为乘客提供更加方便快捷的服务。 现在各大中都市出租车行业都已普及自动计价器,因此计价器技术的进展已成定局。而部分小都市尚未普及,但随着都市建设日益加快,象征着都市面貌的出租车行业也将加速进展,计价器的普及也是毫无疑问的,因此以后汽车计价器的市场依旧十分有潜力的。 计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因此,具有良好性能的计价器对宽敞出租车司机朋友来讲是专门必要的。 我国在70年代开始出现出租车,但那时的计费系统大差不多上国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的进展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时刻自主变动单价等功能。随着都市旅游业的进展,出租车行业已成为都市的窗口,象

征着一个都市的文明程度。 采纳模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,关于模式的切换需要用到机械开关,机械开关时刻久了会造成接触不良,功能不易实现。为此我们采纳了单片机进行设计,相对来讲功能强大,用较少的硬件和适当的软件相互配合能够专门容易的实现设计要求,且灵活性强,能够通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就能够轻易而举的实现。幸免了机械开关带来的不稳定因素。 目前, 电子系统正向集成化、大规模和高速度的方向进展, 集成电路的规模越来越大, 复杂程度越来越高, 因此传统的门级描述方法显得过于琐碎, 难以理解掌握。由美国国防部提出的VHDL (Very High Speed Integrated Circuit Hardware Description Language)即超高速集成电路硬件描述语言, 采纳高层次的、自顶向下的设计方法来描述硬件, 特不适合当前需要。美国国防部为了要解决项目间的信息交换困难和设计维护困难, 同时也为解决当时的超高速集成电路(VHS IC Very High Speed Integrated Circuit) 打算而提出的一种硬件描述语言。1987 年12 月IEEE 同意VHDL 为标准的HDL , 这确实是今天的IEEE STD1076- 1987 和IEEE STD1076- 1993。MAX + PLUSII (Multiple Array Matrix And Programmable Logic U ser System s) 是电子设计不可缺少的工具, 他能够同意多种方式的输入: 原理图输入、文本输入(硬件描述语言)、第三方EDA 工具提供的接口等。MAX+ PLUSII 的仿真器具有专门强灵活性, 能够操纵

单片机出租车计价器课程设计报告书

word完美格式 嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号:

一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通 过线路连接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生 一个脉冲信号送给单片机作为一定距离的计数值。 ●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助 计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号(P3.4)。

五、LED显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 P0.7-P0.0 数码管输出数据 P2.7-P2.4 数码管位选 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上8.6元;由一开关进行选择。每 公里1.5元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计 67个数产生一个溢出中断。 七、电路原理图及引脚

基于51单片机的出租车计价器

基于51单片机的出租车计价器

课程设计说明书 课程设计名称:《单片机原理与接口技术》课程设计 课程设计题目:基于51单片机的出租车计价器 学院名称:信息工程学院 专业:电子信息工程班级:100415 学号:27 姓名:夏亮晶 同组人:张先生 评分:教师:邓老师 2013年07月01日

基于51单片机原理出租车计价器的设计 摘要 现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 本设计以 89S51 单片机为中心,利用信号发生器模拟代替霍尔传感器测距,实现对出租车计价统计,输出采用LM016L液晶显示屏。本电路设计的计价器不但能实现基本的计价,而且还能根据里程或手动来调节单价。通过单片机上的键盘上的按键来执行开始、暂停、复位等功能。步骤如下:首先,程序开始做一些必要的初始化工作,等待键盘输入。然后,启动键K1按下后单片机开始计数传感器传来的计数脉冲,在LM016L液晶显示器显示路程,按下K2显示总费用price是多少,当路程超过3Km,才开始计算。通过K3、K4,K5、K6,K7、K8改变单价price个位,十分位,百分位。 关键词:89S51单片机 LM016L液晶显示屏计价器

目录 前言 (1) 第一章出租车计价系统的设计要求与设计方案 (2) 1.1............. 出租车计价器设计要求 2 1.2..................... 系统主要功能 2 1.3................... 方案论证与比较 2 第二章出租车计价系统的硬件设计 (4) 2.1 振荡电路 (4) 2.2 复位电路设计 (4) 2.3 键盘接口电路 (5) 2.4 显示电路 (5) 2.5 路程测量部分 (6) 2.6 单片机各引脚功能说明 (7) 2.7 1602液晶的简介 (8) 第三章出租车计价系统的软件设计 (11) 3.1....................... 系统主程序 11

单片机出租车计价器课程设计

单片机出租车计价器课程 设计 This manuscript was revised on November 28, 2020

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务

出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连接构成一个完整的系统。 公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲信号送给单片机作为一定距离的计数值。 显示器:用LED 显示,动态扫描显示,不断输出即时价格已及时间。 定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数 量即为车行驶 一公 里,并 对价格 进行增 加。在 没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED 显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED 数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B ,T1工作方式2 数码管输出数据 数码管位选 显示小数点(长亮) 计价器工作开关 白天/夜间切换 计数脉冲输入

出租车计价系统设计

分类号:200 届本科生毕业论文 题目:出租车计价系统设计 作者姓名:李小双 学号:2007080416 系(院)、专业:机械与电子工程学院 指导教师姓名:胡波 指导教师职称:讲师

宿州学院毕业设计出租车计价系统设计 200 年月日 摘要 摘要::出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 关键词:出租车计价器;单片机;控制 ABSTRACT Abstract:Taximeter is both passengers and drivers of the transaction criteria, it is the taxi industry an important symbol, a taxi is the most important tools. It relates to the interests of both transactions. Has a good performance regardless of the meter is a vast number of taxi drivers or passengers are very necessary. Therefore, the car meter is also very much a study of value. The use of analog circuits and digital circuit design of the meter as a whole circuit of a larger scale, using the device, a failure rate is high and difficult to debug, the pattern of switching need to use mechanical switches, mechanical switch will cause a long-time contacts Bad, functions not easy to achieve. SCM used for the design, a relatively powerful, with less hardware and appropriate software can easily coordinate with each other to achieve the design requirements, flexibility and strong, can be programmed by software to complete more of the additional Function. Pricing model for the switch, software programming and can easily cite the achievement. To avoid a mechanical switch the destabilizing factors. Keywords: Taximeter ;89 S51 MCU ;control

出租车计价器课程设计

摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器 》 @

第一章绪论 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 / 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的内部硬件结构和指令系统主要是针对自动控制应用而设计的所以单片机又称微控制器MCU(Micro Controller Unit)。用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因此单片机又叫做嵌入式微控制器(Embedded MCU)。单片机自20世纪70年代问世以来,以其鲜明的特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,经过30多年的发展,性能不断提高,品种不断丰富,已经形成自动控制的一支中坚力量。据统计,我国的单片机年容量已达1~3亿片,且每年以大约16%的速度增长,但相对于国际市场我国的占有率还不到1%。这

出租车计价器的设计【文献综述】

文献综述 电气工程及其自动化 出租车计价器的设计 1.前言 本毕业设计的题目是《出租车计价器设计》,随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S51单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,采用AT24C02 实现在系统掉电的时候保存单价和系统时间等信息,输出采用8 段数码显示管。本次设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价,同时在不计价的时候还能作为时钟为司机同志提供方便。 2.出租车计价器的发展 出租车行业在我国是八十年代初兴起的一项新兴行业,随着我国国民经济的高速发展,出租汽车已成为城市公共交通的重要组成部分。多年来国内普遍使用的计价器只具备单一的计量功能。目前全世界的计价器中有90%为台湾所生产。现今我国生产计价器的企业有上百家,主要是集中在北京,上海,沈阳和广州等地。我国第一家生产计价器的是重庆市起重机厂,最早的计价器全部采用机械齿轮构,只能完成简单的计程功能,可以说,早期的计价器就是个里程表。随着科学技术的发展,产生了第二代计价器。它采用了手摇计算机与机械结构相结合的方式,实现了半机械半电子化。此时它在计程的同时还可完成计价的工作。大规模集成电路的发展又产生了第三代计价器,也就是全电子化的计价器。它的功能也在不断完善。出租汽车计价器是一种专

基于单片机的出租车计价器设计报告

理工大学 《单片机应用与仿真训练》设计报告出租车计价器设计 学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能的计价功能。设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息。本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能。 出租车计价是根据车所行驶的路程以及乘客乘车的方式综合决定的。出租车行驶总路程可以通过车轮的周长乘车轮旋转圈数得到。即可计算得到车轮旋转几周出租车能行驶一公里的路程。使用光电对管能方便地计量车轮旋转的圈数,输出的脉冲信号被接入到AT89S52单片机系统中,通过计算接收到的脉冲个数,计算出当前所行驶的路程,并且可以计算实时的速度。与此同时,根据不同的收费标准计算收费。通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等。 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage

单片机出租车计价器课程设计

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连 接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲 信号送给单片机作为一定距离的计数值。

●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED显示电路 对Array设计总 体分析 下,只 需对其 价格进 行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产 生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B,T1工作方式2 MOV TH1, #0BDH ;自动重装 MOV TL1, #0BDH ;计67个数 SETB TR1 ;计数器启动 CLR ;点亮小数点dp MOV R4, #0FFH ;置数-1

出租车计价器设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:微机系统综合课程设计 课程设计题目: 出租车计价器的设计与实现 院(系): 计算机学院 专 业 : 计算机科学与技术 班 级: 24010104 学 号: 2012040101037 姓 名: 程里 指导教师: 罗振 说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要 求;数据不实 ,不予通过。报告和电子数据必须作为实验现象重复的关键依据。

学术诚信声明 本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。 本人签名: 日期:年月

沈阳航空航天大学课程设计任务书

课程设计总结:

目录 1 引言 0 1.1出租车计价器概述 0 1.2计价器整体功能描述结构 0 1.3各部分电路功能描述 (1) 2计价器硬件设计 (1) 2.1系统的硬件构成及功能 (1) 2.2MCS-51系列单片机内部结构及功能部件 (3) 2.2.1MCS-51系列单片机的内部结构框图 (3) 2.2.2单片机外部引脚说明 (4) 2.2.3并行输入/输出接口 (6) 3系统的软件设计 (6) 3.1软件总体设计 (6) 3.2系统主程序设计 (8) 3.3显示子程序服务程序 (9) 3.4按键服务程序 (9) 4系统调试与测试结果分析 (10) 4.1系统调试 (10) 4.1.1硬件调试 (10) 4.1.2软件调试 (10) 4.1.3软硬件联调 (10) 4.2 电路图 (11) 参考文献 (10) 附录(关键部分程序清单) (13)

出租车计价器课程设计

. 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

第一章绪论 1.1 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 1.2 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的部硬件结构和指令系统主要是针对自动控制应

毕业论文(出租车计价器设计)

河北机电职业技术学院 姓名:陆大鹏 系别:电气工程系 班级:应用电子技术

河北机电职业技术学院毕业论文 基于51单片机设计的多功能 出租车计价器

河北机电职业技术学院毕业论文 目录 第1章引言·~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 1. 1 出租车计价器概述~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 1. 2 本设计任务~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 1.2.1 设计任务~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 1 1.2.2 设计要求~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 1. 3 系统主要功能~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~2 第2章出租车计价器硬件设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 2 2.1 系统的硬件构成及功能~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~2 2. 2 AT89S51单片机及其引脚说明~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~2 2.3 AT24C02引脚图及其引脚功能~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~4 2. 4 AT24C02 掉电存储单元的设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~5 2.5 里程计算、计价单元的设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~6 2.6 数据显示单元设计·~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~6 第3章系统软件设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~8 3. 1 系统主程序设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~8 3. 2 定时中断程序设计·~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 10 3. 3 里程计数中断服务程序设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~10 3.4 中途等待中断服务程序设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~10 3. 5 键盘服务程序设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~10 3. 6 显示子程序服务程序设计~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~10第4章系统调试与测试结果分析~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 4. 1 使用的仪器仪表~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 4.2 系统调试~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 结束语~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~12 1、计价表使用说明~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~12 2、全部源程序~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~12

相关文档
相关文档 最新文档