文档视界 最新最全的文档下载
当前位置:文档视界 › 触发器实验报告

触发器实验报告

触发器实验报告

触发器实验报告

引言:

触发器是数字电路中一种重要的元件,它能够存储和处理信息。在本次实验中,我们将学习并探索触发器的工作原理、应用以及相关的实验。

一、触发器的工作原理

触发器是一种具有两个稳定状态的电子开关,它能够在特定的输入条件下切换

状态。触发器的工作原理基于存储元件的特性,通过输入信号的变化来触发状

态的改变。

二、RS触发器实验

RS触发器是最简单的一种触发器,它由两个交叉连接的反馈回路组成。在本次

实验中,我们将通过构建一个RS触发器电路来深入理解其工作原理。

1. 实验材料和仪器

本次实验所需材料包括电路板、电源、电阻、开关、LED灯等。仪器包括示波器、数字万用表等。

2. 实验步骤

(1)按照电路图连接电路板上的元件,确保连接正确且紧固。

(2)接通电源,调整电压至合适范围。

(3)使用示波器和数字万用表测量电路的输入和输出信号。

(4)按下开关,观察LED灯的亮灭情况,并记录数据。

(5)根据实验数据分析触发器的工作状态和逻辑。

3. 实验结果与分析

通过实验测量数据,我们可以观察到RS触发器在不同输入条件下的状态变化。当输入为00或11时,触发器的状态保持不变;当输入为01或10时,触发器

的状态发生改变。这说明RS触发器能够存储信息,并且在特定输入条件下进行状态切换。

三、JK触发器实验

JK触发器是一种基于RS触发器改进而来的触发器,它具有更多的功能和应用

场景。在本次实验中,我们将学习JK触发器的原理和特性。

1. 实验材料和仪器

本次实验所需材料和仪器与RS触发器实验相同。

2. 实验步骤

(1)按照电路图连接电路板上的元件,确保连接正确且紧固。

(2)接通电源,调整电压至合适范围。

(3)使用示波器和数字万用表测量电路的输入和输出信号。

(4)按下开关,观察LED灯的亮灭情况,并记录数据。

(5)根据实验数据分析JK触发器的工作状态和逻辑。

3. 实验结果与分析

通过实验测量数据,我们可以观察到JK触发器在不同输入条件下的状态变化。

与RS触发器不同的是,JK触发器的输入信号可以控制触发器的状态切换。当

输入为00时,触发器的状态保持不变;当输入为01时,触发器的状态为0;

当输入为10时,触发器的状态为1;当输入为11时,触发器的状态发生改变。这说明JK触发器具有更灵活的控制性能。

结论:

通过本次实验,我们深入了解了触发器的工作原理和应用。通过实际操作和数据分析,我们验证了RS触发器和JK触发器的功能和特性。触发器作为数字电路中的重要组成部分,具有广泛的应用领域,如计算机存储器、时序电路等。对于进一步学习和应用数字电路来说,触发器的理解和掌握是至关重要的。

触发器实验报告

实验3 触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图5-8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此 种情况发生,表5-8-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表5-8-1 图5—8—1 基本RS触发器 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图5-8-2所示。 JK触发器的状态方程为 Q n+1=J Q n+K Q n J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组

成“与”的关系。Q与Q为两个互补输出端。通常把Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。 图5-8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能如表5-8-2 表 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 Q n(Q n)—现态Q n+1(Q n+1 )—次态φ—不定态 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为 Q n+1=D n,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器, 触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双 D 74LS74、四D 74LS175、六D 74LS174等。 图5-8-3 为双D 74LS74的引脚排列及逻辑符号。功能如表5-8-3。

触发器功能测试实验报告

触发器功能测试 031210419 胡鲲 一.实验目的 1.了解时钟脉冲的触发作用 2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用 3.理解触发器所实现的状态转换功能 二.实验器件 开发板、计算机、vivado软件 三.实验内容 1.基本RS触发器的编写,验证并且生成IP核。连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。 module rs_ff10( input s_n, input r_n, output q ); reg q; always@* begin case({s_n,r_n}) 2'b00 : q=1'bx; 2'b01 : q=1'b1; 2'b10 : q=1'b0; 2'b11 : q=q; endcase end endmodule 2.JK触发器的编写,验证并且生成IP 核。 module jk_ff10( input clk, output q, output q_n, input j, input k ); reg q; always@(posedge clk) begin case({j,k}) 2'b00 : q<=q; 2'b01 : q<=1'b0; 2'b10 : q<=1'b1; 2'b11 : q<=~q; default : q<=1'bx; endcase end assign q_n=~q; endmodule

先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。 四.实验数据及分析 R触发器 V16 (s_n)V17 (r_n) U16 0 0 不定 0 1 暗 1 0 亮 1 1 保持 JK触发器(上升沿触发) R2T1(s_n,r_n) V16(J) V17(K) U16(q) E19(q_n) 11->10->01->11 0 1 暗亮置0 11->10->01->11 1 0 亮暗置1 11->10->01->11 0 0 亮暗保持11->10->01->11 1 1 暗亮翻转 五.实验心得及体会 用V erilog做数电实验看似比普通的用面包板做实验来得轻松,实际上能用软件简单做实验的前提是对该软件的编译语言十分熟练,如此才能轻易地实现芯片的数字化,将有关芯片的逻辑功能用编译语言实现,这其中的学习过程也是不简单的。 因此,每次实验前的预习也是相当重要的,正如此次实验,在认真研究过老师课前下发的指导后,我在课上很快就做出了实验结果,这就充分说明了实验课 前预习的重要性。

数电实验五触发器实验报告

数电实验五触发器实验报告 一、实验目的 二、实验原理 三、实验器材 四、实验步骤 五、实验结果分析 六、实验总结 一、实验目的 本次数电实验旨在通过触发器实验,加深学生对于触发器的理解和应用,掌握触发器的工作原理及其在电路中的应用。 二、实验原理 1. 触发器概述 触发器是一种存储器件,可以将输入信号转换成稳定的输出信号,并且能够记住先前输入过的状态。触发器有两个稳态(高电平或低电平),并且只有在时钟信号到来时才会改变状态。 2. SR锁存器

SR锁存器是最简单的触发器之一,由两个交叉耦合反相输出(NOR 或NAND)门构成。当S=1,R=0时,Q=1;当S=0,R=1时, Q=0;当S=R=0时,保持上一个状态不变。但是SR锁存器存在一个致命缺陷——SET和RESET不能同时为1。 3. D锁存器 D锁存器是由一个数据输入口和一个时钟输入口组成。当D为1且时 钟信号到来时,Q会被置为1;当D为0且时钟信号到来时,Q会被 置为0。D锁存器可以看做是SR锁存器的一种特殊情况,即S=D, R=not D。 4. JK锁存器 JK锁存器是由J、K、时钟和输出端Q组成的。当J=1,K=0时, Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q状态取反;当 J=K=0时,保持上一个状态不变。JK锁存器可以看做是SR锁存器的 一种改进型。 5. T锁存器 T锁存器是由T、时钟和输出端Q组成的。当T为1且时钟信号到来时,Q状态取反;当T为0且时钟信号到来时,保持上一个状态不变。T锁存器可以看做是JK锁存器的一种特殊情况,即J=T,K=not T。 三、实验器材

本次实验所需材料如下: - 数字电路实验箱 - 74LS73触发器芯片 - 电源线、万用表等 四、实验步骤 1. 按照电路图连接74LS73芯片。 2. 打开电源并接通电路。 3. 分别将CLK输入高低电平,并记录输出结果。 4. 将D输入高低电平,并记录输出结果。 5. 将J、K输入高低电平,并记录输出结果。 6. 将T输入高低电平,并记录输出结果。 五、实验结果分析 1. CLK输入高低电平时的输出结果 当CLK输入为高电平时,74LS73芯片的Q0和Q1都为1;当CLK 输入为低电平时,Q0和Q1都为0。这是因为74LS73芯片是上升沿触发器,只有在CLK上升沿到来时才会改变状态。 2. D输入高低电平时的输出结果

触发器实验报告

触发器实验报告 触发器实验报告 引言 触发器是数字电路中常用的组合逻辑电路,用于储存和记忆数据,并实现时序逻辑功能。本实验通过实验板上的电路元件和电路模块,设计和配置不同类型的触发器电路,实现相应的功能,并加深对触发器的原理和应用的理解。 一、实验目的 1. 理解触发器的工作原理; 2. 掌握触发器的设计和配置方法; 3. 掌握触发器的应用技巧。 二、实验仪器和器件 1. 实验板:包括触发器模块、电源插座和数字电路板; 2. 电源线; 3. 按钮开关; 4. LED灯; 5. 连线。 三、实验内容与步骤 1. J-K触发器的设计和配置 (1)将J-K触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与J-K触发器连接,并根据需要配置J、K输入信号和时钟信号; (3)通过实验配置J-K触发器,并观察LED灯的亮灭情况。

2. D触发器的设计和配置 (1)将D触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与D触发器连接,并根据需要配 置D输入信号和时钟信号; (3)通过实验配置D触发器,并观察LED灯的亮灭情况。 3. T触发器的设计和配置 (1)将T触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与T触发器连接,并根据需要配置 T输入信号和时钟信号; (3)通过实验配置T触发器,并观察LED灯的亮灭情况。 四、实验结果与分析 本次实验中,我成功设计和配置了J-K触发器、D触发器和T 触发器电路,并通过实验得到了相应的结果。在配置J-K触发器时,当J=1、K=1并且时钟信号上升沿到来时,LED灯亮起;当J=0、K=1并且时钟信号上升沿到来时,LED灯熄灭。在配 置D触发器时,当D=1并且时钟信号上升沿到来时,LED灯 亮起;当D=0并且时钟信号上升沿到来时,LED灯熄灭。在 配置T触发器时,当T=1并且时钟信号上升沿到来时,LED 灯状态取反;当T=0并且时钟信号上升沿到来时,LED灯保 持原状态不变。 五、实验总结 通过本次实验,我进一步掌握了触发器的原理和应用方法。触发器作为数字电路中常用的组合逻辑电路,具有存储和时序功

触发器实验报告总结

篇一:触发器及其应用实验报告 学生实验报告 篇二:数据库实验3 触发器报告 数据库专题训练------触发器 实验报告 系别:计算机科学与技术班级:计11-3班姓名:黄娟娟学号:11101020324 成绩: 评语: 指导教师签字:日期: 实验二触发器 一、实验环境及要求 触发器是一种特殊的存储过程,不能被用户直接调用。可以包含复杂的 sql语句。在特定事件发生时自动触发执行,通常用于实现强制业务规则和数据完整性。dml触发器分为两种类型:after 触发器和 instead of触发器。通过本次实验掌握触发器的创建方法以及使用方法。 二、实验步骤及结果 1) 创建一个名为tri_insert_s的触发器,测试改触发器的执行情况,并给出实验结果。当插入的新记录中sage 的值不是18至25之间的数值时,就激活该触发器,撤销该插入操作,并给出错误提示。 use sxcj go create trigger tri_insert_s on s after insert as if exists (select * from inserted where sage>=18 and sage<=25) print添加成功! else begin print无法添加! rollback

transaction end go insert into s values(s8,黄丽,女,26,计算机) insert into s values(s8,黄丽,女,20,计算机) select * from s go 显示如下: insert into s values(s8,黄丽,女,26,计算机) insert into s values(s8,黄丽,女,20,计算机) 2)创建一个名为tri_update_sc的触发器,要求:(1)首先判断数据库中是否已经存在名为tri_update_sc的触发器,如果存在,首先删除,再创建。(2)当试图修改sc表中的学生成绩时,给出不能随便修改成绩的信息提示。 use sxcj go if exists(select name from sysobjects where name=tri_update_sc and type=tr) begin drop trigger tri_update_sc end else print不存在该触发器,可新建。 go 显示如下: create trigger tri_update_sc on sc after update as if update(score) begin print不能随意修改成绩!

触发器及其应用实验报告

触发器及其应用实验报告 一、实验目的 通过本次实验,我们的目标是: 1.了解触发器的基本原理。 2.学习触发器的分类及其应用场景。 3.通过实验了解触发器的使用方法。 二、实验器材 1.示波器。 2.信号发生器。 3.逻辑门芯片。 4.电源。 5.电线、面包板等。 三、实验原理 触发器是由逻辑门电路组成的电子器件,具有存储和控制的功能,它能够接收一个或多个输入信号,通过逻辑门电路进行处理,并输出结果。因为具有存储和控制的功能,所以可以被广泛应用于数字电路中。 触发器分为锁存触发器和触发器两种。 锁存触发器存在一个叫做钟脉冲的输入信号,这个输入信号决定了锁存触发器是否工作。当输入一个高电平的钟脉冲时,锁存触发器将会把它的输入信号“锁定”,并输出相应的结果;当钟脉冲为低电平时,锁存触发器会维持自己的状态不变。 触发器一般也有两个输入信号,分别是时钟和数据。当时钟为高电平的时候,数据会被写入到触发器中,并且继续保存下来;当时钟为低电平的时候,触发器会维持自己的状态不变。 四、实验步骤 1、搭建RS锁存器电路图

将R、S两个输入端接到逻辑门芯片上,并将输出端接上示波器,调整示波器参数,实时观察输出波形。 在示波器上显示R、S各种输入波形,了解电路的工作原理和特性。 4、测试D触发器电路 五、实验结果 通过本次实验,我们成功地实现了RS锁存器和D触发器的搭建和测试。我们通过不同的输入信号波形测试了电路的各种工作特性,如RS锁存器的存储和控制特性以及D触发器的时序控制特性等。 六、实验分析 触发器是数字电路中的关键元件之一,它可以实现数字信号的存储和控制。本次实验通过搭建RS锁存器和D触发器电路,并通过逻辑门芯片实现,得出了两种触发器的不同工作原理和特性。同时,我们还通过不同的输入波形测试了它们的各种工作状态,进一步了解和掌握触发器的应用技巧和调试方法。这对于我们深入理解和掌握数字电路原理以及实际应用具有重要意义。 同时,我们还通过实际操作锻炼了自己的实验技能,深入理解了数字电路的原理和应用。这对我们今后的学习和工作都具有很大帮助。

触发器——实验报告

触发器——实验报告 本次实验主要针对的是数据库的触发器,触发器可以在数据库中对指定的事件进行响应,可以在事件发生前或发生后对相关的SQL语句进行处理,从而实现对数据的限制、约束等相关操作。本次实验的目标是通过实例了解什么是触发器,如何创建触发器以及触发器的应用。 一、实验环境 本次实验使用MySQL数据库作为实验环境。 二、实验步骤 1. 创建数据库和表结构 首先,需要创建一个新的数据库,并新建一张表来进行触发器的测试。这里,我们创建一个名为“students”的数据库和“grades”表。表结构如下: CREATE TABLE grades( id int(11) NOT NULL AUTO_INCREMENT, PRIMARY KEY (id) ) ENGINE=InnoDB DEFAULT CHARSET=utf8 COLLATE=utf8_unicode_ci; 2. 创建触发器 接下来,我们要创建一个触发器来对数据进行限制。比如,我们想对成绩的输入进行限制,限制只能输入0-100之间的分数。 创建触发器的语法如下: CREATE TRIGGER trigger_name {BEFORE | AFTER} {INSERT | UPDATE | DELETE} ON table_name FOR EACH ROW BEGIN -- 触发器的处理 END;

以上语法中,trigger_name是触发器的名称,table_name是触发器所作用的表名,BEFORE或AFTER关键字表明触发器是在事件发生前还是发生后进行处理的,在本次实验中,我们使用BEFORE关键字,表示在事件发生前进行处理。 触发器可以应用在INSERT、UPDATE、DELETE事件上,我们用INSERT事件做例子,表 示在插入数据之前实现相应的数据限制。 触发器中的处理代码可以是任何合法的SQL语句,其中可以使用NEW和OLD关键字来 引用触发器作用的记录,NEW表示要插入或者修改的记录,OLD表示删除的记录。 根据上述语法,我们可以创建一个名为“grade_check”的触发器,代码如下: IF NEW.grade < 0 or NEW.grade > 100 THEN SIGNAL SQLSTATE '45000' SET MESSAGE_TEXT = 'The grade should be between 0 and 100'; 以上代码中,触发器的名称为“grade_check”,在进行INSERT事件之前进行处理。 如果插入的分数小于0或大于100,则通过SIGNAL语句抛出一个异常,提示用户输入无效数据。 触发器创建完成后,我们来测试它是否能够正常工作。 首先,我们尝试插入一条分数为70的记录: INSERT INTO grades (name, grade) VALUES ('小明', 70); 执行以上语句后,可以发现记录已经成功插入。 执行以上语句后,会收到“ERROR 1644 (45000): The grade should be between 0 and 100”的异常提示,插入操作失败。 三、实验结论

数电实验报告触发器及其应用(共10篇)

数电实验报告触发器及其应用(共10篇) 1、实验目的:掌握触发器的原理和使用方法,学会利用触发器进行计数、存储等应用。 2、实验原理: 触发器是一种多稳态数字电路,具有存储、计数、分频、时序控制等功能。常见的触 发器有RS触发器、D触发器、T触发器、JK触发器等。 RS触发器是由两个交叉互连的反相器组成的,它具有两个输入端R(复位)和S(置位),一个输出端Q。当输入R=1,S=0时,Q=0;当输入R=0,S=1时,Q=1;当R=S=1时,无法确定Q的状态,称为禁态。 JK触发器是将RS触发器的两个输入端合并在一起而成,即J=S,K=R,当J=1,K=0时,Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q反转。JK触发器具有启动、停止、颠倒相位等功能。 D触发器是由单个输入端D、输出端Q和时钟脉冲输入端组成的,当时钟信号上升沿出现时,D触发器的状态发生改变,如果D=1,Q=1;如果D=0,Q=0。 T触发器只有一个输入端T和一个输出端Q,在每个时钟脉冲到来时,T触发器执行 T→Q操作,即若T=1,则Q取反;若T=0,则Q保持不变。 触发器可以组成计数器、分频器、存储器、状态机等各种数字电路,被广泛用于计算机、控制系统等领域。 3、实验器材: 数码万用表、示波器、逻辑分析仪、CD4013B触发器芯片、几个电阻、电容、开关、 信号发生器等。 4、实验内容: 4.1 RS触发器测试 利用CD4013B芯片来测试RS触发器的功能,在实验中将RS触发器的输入端分别接入CD4013B芯片的端子,用示波器观察输出端的波形变化,并记录下输入输出关系表格,来 验证RS触发器的工作原理。 具体实验步骤如下:

触发器使用实验报告

触发器使用实验报告 本次实验主要是对触发器的使用进行了实验研究。具体来说,是通过设计电路,编写代码等方式进行触发器的实验,然后通过编写实验报告来总结和介绍这些实验的过程和结果。 1. 实验目的: 1. 了解触发器的概念和种类; 2. 掌握触发器的应用方式; 3. 理解基本的推挽输出电路设计; 4. 掌握使用触发器实现频率分频器的方法。 1. 电路设计:通过电路图设计产生触发器时序信号的电路。 2. 代码编写:通过编写代码实现上述电路的功能,利用单片机的相应端口输出控制信号。 3. 推挽输出电路设计:通过电路图设计推挽输出电路,实现驱动舵机等组件的控制。 4. 频率分频器设计:通过电路图设计基于触发器的4分频电路,将输入的高频信号四分频输出。 1. 确定实验所需元器件,并对相应器件进行编号标记。 2. 设计电路图,包括:触发器时序电路图,推挽输出电路图,以及频率分频器电路图。 3. 焊接电路图中的元器件,注意焊接过程中连线的正确性和牢固性。 4. 调试电路,检查电路的性能是否符合设计要求。 5. 对代码进行编写,实现控制电路的功能。 6. 测试控制效果,并调整电路和代码,确保控制正确可靠。 4. 实验结果和分析: 1. 电路设计和焊接均顺利完成,实现了触发器的时序信号产生,舵机的控制,4分频输出等功能。

2. 在使用触发器时,需要判断触发器的种类和输入信号的类型,以确保信号正确触发。 3. 在推挽输出电路设计中,需要根据所需控制的设备特点进行设计,包括电压,电 流大小等。 4. 频率分频器的设计中,需要注意分频比例的计算和实现,避免出现精度问题。 5. 通过此次实验,加深了对触发器的理解和应用,为今后的电路设计提供了有力的 支撑和参考。 本次实验通过设计电路,编写代码等方式进行了触发器的实验,加深了对触发器的应 用和原理的理解,为今后的电路设计提供了重要的帮助。同时,也发现了一些问题,如在 舵机控制中需要注意电流大小等问题,对今后的实验有所启示。总之,此次实验收获丰富,对今后的学习和工作有着重要的参考作用。

触发器功能测试实验报告 031210434

触发器功能测试 031210425 刘思何 一.实验目的 1.了解时钟脉冲的触发作用 2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用 3.理解触发器所实现的状态转换功能 二.实验器件 开发板、计算机、vivado软件 三.实验内容 1.基本RS触发器的编写,验证并且生成IP核。连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。 module rs_ff10( input s_n, input r_n, output q ); reg q; always@* begin case({s_n,r_n}) 2'b00 : q=1'bx; 2'b01 : q=1'b1; 2'b10 : q=1'b0; 2'b11 : q=q; endcase end endmodule 2.JK触发器的编写,验证并且生成IP 核。 module jk_ff10( input clk, output q, output q_n, input j, input k ); reg q; always@(posedge clk) begin case({j,k}) 2'b00 : q<=q; 2'b01 : q<=1'b0; 2'b10 : q<=1'b1; 2'b11 : q<=~q; default : q<=1'bx; endcase end assign q_n=~q; endmodule

先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。 3.D触发器的编写,验证 如JK触发器一样进行验证。 四.实验数据及分析 R触发器 V16 (s_n)V17 (r_n) U16 0 0 不定 0 1 暗 1 0 亮 1 1 保持上个状态

d触发器实验报告

d触发器实验报告 D触发器实验报告 引言: D触发器是数字电路中常用的一种时序电路元件,其具有存储和传输数据的功能。本实验旨在通过搭建和测试D触发器电路,加深对该元件的理解,并验证其工作原理。 实验目的: 1. 了解D触发器的基本原理和功能; 2. 掌握D触发器的搭建方法; 3. 验证D触发器在不同输入条件下的工作特性。 实验器材: 1. 数字电路实验箱; 2. 7400系列集成电路芯片; 3. 电压源、示波器等实验设备。 实验步骤: 1. 搭建D触发器电路: 根据实验箱上的示意图,连接集成电路芯片,将D触发器电路搭建好。 2. 输入电路设计: 设计一个简单的输入电路,用于改变D触发器的输入值。可以使用开关、按钮或者信号发生器等。 3. 测试触发器的工作特性: a. 设置输入为低电平,记录输出状态;

b. 将输入切换为高电平,观察输出状态是否发生变化; c. 连续改变输入电平,观察输出是否跟随变化。 4. 测量触发器的时序特性: a. 使用示波器测量D触发器的输入和输出波形; b. 记录并分析触发器的延时时间、上升/下降时间等参数。 实验结果与分析: 通过实验,我们得到了D触发器在不同输入条件下的工作特性。在输入为低电平时,输出保持不变;当输入切换为高电平时,输出状态发生改变。这说明D 触发器具有存储和传输数据的功能。同时,我们还测量了触发器的时序特性,得到了一些重要的参数。 讨论与总结: D触发器是数字电路中重要的时序元件,广泛应用于计算机、通信等领域。通过本次实验,我们深入理解了D触发器的工作原理和特性。同时,我们也发现了一些问题和改进的空间,例如触发器的响应时间较长,可以尝试优化电路设计以提高性能。 结语: 通过本次实验,我们成功搭建和测试了D触发器电路,并验证了其工作原理。这对于我们进一步理解数字电路和时序电路的原理和应用具有重要意义。希望通过今后的实验和学习,我们能够更深入地探索和应用这些知识,为科学技术的发展做出贡献。

基本触发器实验报告

基本触发器实验报告 一、实验目的 本实验旨在掌握基本触发器的工作原理和使用方法,通过实验验证其 稳定性和可靠性。 二、实验原理 基本触发器是一种常用的数字电路元件,主要用于存储和传输数字信号。常见的基本触发器包括RS触发器、D触发器、JK触发器和T触 发器。 RS触发器由两个输入端R和S以及两个输出端Q和Q'组成。当R=0,S=1时,Q=1,Q'=0;当R=1,S=0时,Q=0,Q'=1;当R=S=1时,保持原状态不变;当R=S=0时,禁止状态转换。 D触发器只有一个输入端D和两个输出端Q和Q'。当D为高电平时,Q为高电平;当D为低电平时,Q为低电平。 JK触发器由三个输入端J、K和CLK以及两个输出端Q和Q'组成。当CLK上升沿到来时,若J为高电平,则Q取反;若K为高电平,则Q 不变。当J与K同时为高电平时,则保持原状态不变。

T触发器只有一个输入端T和两个输出端Q和Q'。当T为高电平时,在CLK上升沿到来时,若Q为低电平,则Q为高电平;若Q为高电平,则Q为低电平。 三、实验器材 数字逻辑实验箱、示波器、信号源、多用表等。 四、实验步骤 1. 按图连接RS触发器,设置R=0,S=1,观察输出端Q和Q'的变化情况; 2. 将R和S接反,设置R=1,S=0,观察输出端Q和Q'的变化情况; 3. 将R和S均设为1,观察输出端Q和Q'的变化情况; 4. 将R和S均设为0,观察输出端Q和Q'的变化情况; 5. 按图连接D触发器,将输入端D接到信号源上,并设置不同的输入信号频率和占空比,观察输出端Q的变化情况; 6. 按图连接JK触发器,将J和K接到信号源上,并设置不同的输入信号频率和占空比,观察输出端Q的变化情况; 7. 按图连接T触发器,将输入端T接到信号源上,并设置不同的输入 信号频率和占空比,观察输出端Q的变化情况。 五、实验结果与分析 1. RS触发器:当R=0时,输出端Q为1,Q'=0;当S=0时,输出 端Q为0,Q'=1;当R=S=1时,输出端Q和Q'不变;当R=S=0时,

触发器设计实验报告

数字电路与EDA实践教程 实验报告 系部名称:电子工程学院 学生姓名: 专业名称:光电信息工程 班级: 时间:2011.10.27 学号: 31

实验六 触发器设计 一、实验目的 (1)认识RS 触发器、JK 触发器、D 触发器和T 触发器。 (2)掌握RS 触发器、JK 触发器、D 触发器和T 触发器的逻辑功能及动作特点。 (3)能够通过CPLD 开发实现具有触发器功能的数字电路。 二、实验原理及内容 实验一 JK 触发器 要求设计一个合理的电路,通过MAX+plus Ⅱ软件仿真和CPLD 实现验证JK 触发器的逻辑功能,并掌握其动作特点。此次设计的JK 触发器如图所示。JK 触发器功能表如下。 异步置位端PRN J 端 输出端Q CP 端 K 端 异步复位端CLRN PRN CLRN CP J K Q 0 1 × × × 0 1 0 × × × 1 0 0 × × × 1 1 1 0 0 保持 1 1 0 1 0 1 1 1 0 1 1 1 1 1 翻转 电路程序 SET J Q K Q CLR

仿真图

实验一 D 触发器 要求设计一个合理的电路,通过MAX+plus Ⅱ软件仿真和CPLD 实现验证D 触发器的逻辑功能,并掌握其动作特点。此次设计的D 触发器如图所示。D 触发器功能表如下。 异步置位端PRN D 端 输出端Q CP 端 异步复位端CLRN PRN CLRN CLK D Q 0 1 × × 1 1 0 × × 0 0 0 × × 1 1 1 1 1 1 1 0 0 1 1 0 × 保持 SET D Q Q CLR

触发器实验报告

实验六触发器 一、实验目的 1.掌握根本RS、JK、D和T触发器的逻辑功能。 2.掌握集成触发器的逻辑功能及使用方法。 3.熟悉触发器之间相互转换的方法。 二、实验原理和电路 触发器是具有记忆作用的根本单元,在时序电路中是必不可少的。触发器具有两个根本性质:〔1〕在一定的条件下,触发器可以维持在两种稳定状态〔0或1状态〕之一而保持不变;〔2〕在一定的外加信号作用下,触发器可以从一种状态转变成另一稳定状态〔1→0或0→1〕,因此,触发器可以记忆二进制的0或1,被用作二进制的存贮单元。 触发器根据时钟脉冲输入分为两大类:一类是没有时钟输入的触发器,称为根本触发器;另一类是有时钟脉冲输入端的触发器,称为时钟触发器。 1.根本触发器 〔1〕与非门组成的根本触发器 由两个与非门组成的根本触发器如图1.6.1,它有两个输出端〔Q和〕,两个输入端〔和R〕,逻辑功能见表1.6.1所示。 由表1.6.1可知:①当==1时,该触发器保持原先的1或0状态不变,即稳定状态。 〔a〕逻辑图〔b〕国际符号 〔c〕惯用符号 图1.6.1 由与非门组成的根本触 发器 ②=1,端输入负脉冲,则不管原来为1或0状 态,由于与非门“有低出高,全高出低〞新状态一定为:Q为0状态,Q为1状态。 ③=1,端输入负脉冲,则不管原来Q为何状态,新状态一定为Q=1,=0。 ④当、同时输入由高到低电平,这时Q==1,此后,假设、同时由低变高,则Q 的状态有可能为1,也可能为0,这取决于两个与非门的延时传输时间,这一状态,对触发器来说是不正常的,在使用中应尽量防止。 〔2〕由或非门组成的根本触发器 根本触发器也可由或非门组成,如图1.6.2所示,表1.6.2为其逻辑功能表。 由于或非门逻辑关系为“有高出低,全低出高〞,因此,在输入S和R端,平时应为低电平,而不是高电平。由表1.6.2可知: ①S=R=0时,状态不变。 ②S=0,R为正脉冲输入时,Q=0,=1。

相关文档